基于vhdl的异步串行通信电路设计new

基于vhdl的异步串行通信电路设计new

ID:33699467

大小:109.25 KB

页数:8页

时间:2019-02-28

基于vhdl的异步串行通信电路设计new_第1页
基于vhdl的异步串行通信电路设计new_第2页
基于vhdl的异步串行通信电路设计new_第3页
基于vhdl的异步串行通信电路设计new_第4页
基于vhdl的异步串行通信电路设计new_第5页
资源描述:

《基于vhdl的异步串行通信电路设计new》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、基于VHDL的异步串行通信电路设计

2、首页

3、精品文章

4、下载中心

5、本站教程

6、留言

7、论坛

8、商城

9、网站简介

10、北方分站

11、教育网分站

12、博客

13、

14、精品文章首页

15、单片机

16、FPGA/ASIC技术

17、ARM专栏

18、通信与网络

19、接口电路

20、嵌入式系统

21、业内动态

22、编程器

23、您现在的位置:单片机启点网>>精品文章>>FPGA/ASIC技术>>文章正文用户登录新用户注册[组图]基于VHDL的异步串行通信电路设计【字体:小大】基于VHDL的异步串行通信电路设计作者:李刚强田⋯文章来源:本站原创点击数:5072更新时间:2005-3-221引言随着电子技术的发展,现

24、场可编程门阵列FPGA和复杂可编程逻辑器件CPLD的出现,使得电子系统的设计者利用与器件相应的电子CAD软件,在实验室里就可以设计自己的专用集成电路ASIC器件。这种可编程ASIC不仅使设计的产品达到小型化、集成化和高可靠性,而且器件具有用户可编程特性,大大缩短了设计周期,减少了设计费用,降低了设计风险。目前数字系统的设计可以直接面向用户需求,根据系统的行为和功能要求,自上至下地逐层完成相应的描述﹑综合﹑优化﹑仿真与验证,直到生成器件,实现电子设计自动化。其中电子设计自动化(EDA)的关键技术之一就是可以用硬件描述语言(HD

25、L)来描述硬件电路。VHDL是用来描述从抽象到具体级别硬件的工业标准语言,它是由美国国防部在80年代开发的HDL,现在已成为IEEE承认的标准硬件描述语言。VHDL支持硬件的设计、验证、综合和测试,以及硬件设计数据的交换、维护、修改和硬件的实现,具有描述能力强、生命周期长、支持大规模设计的分解和已有设计的再利用等优点。利用VHDL这些优点和先进的EDA工具,根据具体的实际要求,我们可以自己来设计串口异步通信电路。2串口异步通信的帧格式和波特率2.1串行异步通信的帧格式在串行异步通信中,数据位是以字符为传送单位,数据位的前、后

26、要有起始位、停止位,另外可以在停止位的前面加上一个比特位(bit)的校验位。其帧格式如图1所示。起始位是一个逻辑0,总是加在每一帧的开始,为的是提醒数据接收设备接收数据,在接收数据位过程中又被分离出去。数据位根据串行通信协议,允许传输的字符长度可以为5、6、7或8位。通常数据位为7位或8位,如果要传输非ASCII数据(假如使用扩展字符设置的文本或者二进制数据),数据位格式就需要采用8位。数据位被传输时从一个字符的最低位数据开始,最高位数据在最后。例如字母C在ASCII表中是十进制67,二进制的01000011,那么传输的将是

27、11000010。校验位是为了验证传输的数据是否被正确接收,常见的校验方法是奇、偶校验。另外校验位也可以为0校验或者1校验,即不管数据位中1的个数是多少,校验位始终为0或者1,如果在传输的过程中校验位发生了变化,这就提示出现了某类错误。不过,在传输数据的时候,也可以不用校验位。停止位,为逻辑1,总在每一帧的末尾,可以是1位、1.5位或者2位。最常用的是1位,超过1位的停止位通常出现在这样的场合:在处理下一个即将发送来的字符之前接收设备要求附加时间。2.2串行异步通信的波特率串行口每秒发送或接收数据的位数为波特率。若发送或接收

28、一位数据需要时间为t,则波特率为1/t,相应的发送或接收时钟为1/tHz。发送和接收设备的波特率应该设置成一致,如果两者的波特率不一致,将会出现校验错或者帧错。3串行发送电路的设计为简化电路设计的复杂性,采用的帧格式为:1位开始位+8位数据位+1位停止位,没有校验位,波特率为9600。http://www.mcu99.com/Article/FPGA/200503/532.html(第1/8页)2005-12-2212:54:45基于VHDL的异步串行通信电路设计3.1波特率发生器的设计要产生9600波特率,要有一个不低于9

29、600Hz的时钟才可以。为产生高精度的时钟,我选了6MHz(6M能整除9600)的晶振来提供外部时钟。当然,你也可以选其它频率的时钟来产生9600Hz的时钟。对于6MHz时钟,需要设计一个625进制的分频器来产生9600波特率的时钟信号。用VHDL设计分频器较简单,在这里就不再给出源程序了。3.2发送电路的设计根据采用的帧格式,需要发送的数据为10位(1位开始位、8位数据位、1位停止位),在发送完这10位后,就应该停止发送,并使发送端电平处于逻辑1,然后等候下次的发送。下面是实现上述功能的VHDL源程序:libraryiee

30、e;useieee.std_logic_1164.all;entityComisport(clk,en:instd_logic;Send_data:instd_logic_vector(9downto0);serial:outstd_logic);endcom;architectureco

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。