基于cpld的曼彻斯特编码技术

基于cpld的曼彻斯特编码技术

ID:33695603

大小:2.83 MB

页数:4页

时间:2019-02-28

基于cpld的曼彻斯特编码技术_第1页
基于cpld的曼彻斯特编码技术_第2页
基于cpld的曼彻斯特编码技术_第3页
基于cpld的曼彻斯特编码技术_第4页
资源描述:

《基于cpld的曼彻斯特编码技术》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、N6tworkandCOmmuniCation基于CPLD的曼彻斯特编码技术王奇,王英民,牛奕龙,陶林伟(西北工业大学,陕西西安710072)摘要:研究了曼彻斯特编解码方法,采用VHDL语言在CPLD上实现了编解码,使系统的功能高度集成,提高了系统的灵活性与兼容性通过MAX+PLUSn仿真了2MBls速率下的编解码,结果和理论分析一致,验证了编解码电路设计的有效性和可行性关键词:CPLD;曼彻斯特编解码;MAX+PLUSn中图分类号:丁Ng13.8文献标识码:BManChesterdeeodlngbasedonCPLDWANGQi,WANGYingMin,NIUYi肠ng,TAO

2、UnWei(NorthwestemPOlyteehniealUniversity,Xian71(X)72,China)Abstracl:ThispaperstudiedtheapproehofManehestereneodinganddeeeding,adoptedVHDLtorealizetheeneodinganddeeoding.hmadethefunetionofthe盯stemintergrated,improvedtheflexibihtyandeomPatibilityofthesystem.Simulatingtheeneodinganddeeodingwithth

3、esPeedof2MB/sinMAX+PLUS11,thesimulation15eonsistentwiththetheoretiealanalysis,whiehvaldatestheefieieneyand卯551-bilityoftheeircuitdesi罗.KeyWordS:CpLD;Manchestereneodinganddecoding;MAX+PLUS11在工业现场控制网络中,曼彻斯特码由于编码方式输速率只有调制速率的1/2简单易行无直流分量,且含有丰富的时钟信息,常被用2可编程逻辑器件的选择作高速基带数据传输曼彻斯特编码已经广泛应用在数系统中CPLD器件选

4、择月tera公司的MAX7拟)系列控测井和无线监控等领域3]的EPM7128AETCI(X)一10EPM7128AE系列CPLD具有要实现曼彻斯特编解码,专业的编解码器必不可250个可用门,内部具有128个宏单元,最多可用1/0少,目前曼彻斯特编解码器HD一6408HD一创09最高速引脚10个,时钟最高可达192.3MHz,使用3.3v电压率只有IMBls,而且这种器件是对串行信号进行编解供电码,在电路设计中需要专门的并/串串/并转换支持,增本文的编解码分别在2个CPLD中完成编码端的加了设计成本CP印内部程序框图如图1所示,首先锁存并行信号,然本文主要介绍一种通过

5、CPLD来实现曼彻斯特编解后根据编码时钟把并行数据用移位寄存器进行并/串转码的方法,在CPLD内部完成并/串串j并转换以及曼彻斯特编解码,提高了编解码的通用性,大大节省了开发_几分频位计数器成本,并且可以实现更高的编码速率1曼彻斯特码在曼彻斯特编码一2冲,每一位的中间有一个跳变,位中间的跳变既作时钟信号,又作数据信号从高到低锁存器移位曼彻斯特寄存器编码跳变表示0,从低到高跳变表示1从曼彻斯特码的特点可以看出曼彻斯特码是一种自同步码一,且没有直流分量,因此抗干扰能力强但其缺点是编码后每一个码元都被调成2个电平.所以数据传图l编码端CPLD功能框图微型机与应用2

6、09年第24期欢迎网上投稿w.peachina.eom39NetWOrksndCOmmUniCation换,最后曼彻斯特编码模块对串行NRZ数据进行编码数据的跳变沿产生毛刺设计中采用如下编码方式:输出选用二倍频于数据传输速率的时钟,当时钟个数为解码端的CPLD内部程序框图如图2所示,首先曼彻斯奇数时,曼彻斯特码等于NRZ码;当时钟个数为偶数时,特解码模块把输入的曼彻斯特码解码成NRZ码,并从码元曼彻斯特码等于NRZ码取反中提取同步时钟,然后在移位寄存器中对串行NRZ码完成这种编码方式简单易行,而且解决了常规通过异或串讲转换,最后把并行信号锁存到锁存器中并输出方式编码产生的毛刺

7、现象3.2解码器设计位砂}数器曼彻斯特解码框图如图4所示,主要分为4个部分:同步头检测电路同步时钟提取器解码的判决器和计数器其中同步头检测电路和判决器采用VHDL语言设曼彻斯特移位一锁存器解码寄存器时牛中计数器提取图2解码端CPLD内部框图3曼彻斯特编解码器设计I司步头,lJ决器在编解码器的设计中,vHDL设计语言和原理图方检9)lJ曼码式混合使用,提高了软件设计的灵活性3.1编码器设计(l)同步头图4曼彻斯特解码框图解码时,何时开始一个解码周期

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。