交通灯控制器课设报告

交通灯控制器课设报告

ID:33505634

大小:346.44 KB

页数:11页

时间:2019-02-26

交通灯控制器课设报告_第1页
交通灯控制器课设报告_第2页
交通灯控制器课设报告_第3页
交通灯控制器课设报告_第4页
交通灯控制器课设报告_第5页
资源描述:

《交通灯控制器课设报告》由会员上传分享,免费在线阅读,更多相关内容在工程资料-天天文库

1、《数字电子技术A》课程设计报告学院信息工程学院扌旨导老师_夏路易姓名学号9527班级非正常人类研究天字班日期课程设计题目:单行道交通灯控制器的设计题目要求:用VHDL设计一个单行道交通灯控制器。要求传感器1、传感器2检测车辆,当车辆经过吋产生一个脉冲。当方向1为绿灯时,进入单行道几辆车,就必须在方向2检测到儿辆车开出,就是两个传感器检测到的车辆Z差为0时,才能给方向2绿灯,否则两个方向都是红灯。车辆从方向2进入时的情况与方向1相同。要求,绿灯经过黄灯后才能变红灯。Gl、G2是绿灯,Yl、Y2是黄灯,Rl、R2是红灯。第1章单行道交通灯控制器电路原理图与PCB设计根据系统设计要求,系

2、统设计采用自顶向下的设计方法,它主要由分频模块、交通灯控制模块两部分部分组成。所设计的电路原理图如下图所示。其中下图是FPGA芯片EPIC3T100与JTAG下载、器件配置电路。电路中EPC2是10k10的器件配置芯片。CABLEJTAG是10kl0的电缆配置KTAG接口。图中还包括LED电路,时钟电路,电源电路。单行道交通灯控制器电路的PCB电路版图U2JTAG詁:::U1EP1C3T100XI■piiiiiiiiiiiiSTH=»l^MluZdXI£d±一TQU1asrmo回一二Ourmosf~~rk・EKrmo•eny*□5rmo•hy»□6rmo•eny»图1交通灯控制器的

3、顶层PCB版图2puLdU1EPIC3T10QJIJTAGLU•±J»QU1IJ/sD2rma•丄一Ourmo•亠一〒•□4rmot-A□5rmot-T□6rmoi-T图2交通灯控制器的底层PCB版图TSTJTAG-ei-a亠E5、图3交通灯控制器的PCB版图第二章单行道交通灯控制器的设计根据设计要求,WVHDL设计单行道交通灯控制器,其VHDL源程序如下:Kdividerlibraryieee;useieee.std_logic_1164.all;useieee.std」ogic_signed.all;useieee.std_logic_arith.all;entitydivid

4、erisgeneric(datawidth:integer:=25);port(elk:instd_logic;frq_out:outstd」ogic);enddivider;architecturebehaveofdividerissignalcount:sld」ogic_vector(datawidth-1downto0);beginprocessbeginwaituntilclk!eventandclk=T;if(count=l())thencoimt

5、(count<5)thenfrq_out<=,0,;elsefrq_out<=,r;endif;endif;endprocess;endbehave;2、trafficlibraryieee;useieee.std_logic_l164.all;useieee.std_logic_unsigned.all;useieee.std_logic_arith.all;entitytrafficisport(clkjst:instd_logic;ledout:outstd」ogic_vector(5downto0));endtraffic;architecturebehaveoftraff

6、icissignalcount:std_logic_vector(4downto0);typestate_valueis(sl,s2,s3,s4,s5,s6);signalstate:state_value;beginprocess(clk^rst)beginifrst='O'thenstate<=s1;countv二”10100”;ledoutv二T00001";elsifrising_edge(elk)thencasestateiswhensl=>ifcount二”00000“thenstale<=s2;ledout<=n010001";counWOOll”;elsestate

7、<=sl;count<=cou1;endif;whens2=>ifcount=,,()0()()()uthenstate<=s3;ledout<=,,00100r,;countv二”00101”;elsestate<=s2;count<=count-1;endif;whens3=>ifcount="00000uthenstate<=s4;ledout<=n001100H;count<=',10100,';elsestate<=s3;count<=count-l;end

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。