洗衣机时控电路eda课设正确程序

洗衣机时控电路eda课设正确程序

ID:33409375

大小:96.30 KB

页数:10页

时间:2019-02-25

洗衣机时控电路eda课设正确程序_第1页
洗衣机时控电路eda课设正确程序_第2页
洗衣机时控电路eda课设正确程序_第3页
洗衣机时控电路eda课设正确程序_第4页
洗衣机时控电路eda课设正确程序_第5页
资源描述:

《洗衣机时控电路eda课设正确程序》由会员上传分享,免费在线阅读,更多相关内容在工程资料-天天文库

1、洗衣机时控电路1•设计目的科技的进步多少体现在了日常生活必需品的进步,本次课设目的是设计一个与现实生活屮很多家庭使用的洗衣机具冇相同功能的时序控制的洗衣机。熟练掌握QuartusII软件,编写程序,绘制原理图,可进行编译及功能仿真,实现软件上的洗衣机功能。2.设计要求和任务设计要求和任务:任务:设计一个洗衣机时控电路。要求:能过通过时间控制洗衣机的工作状态,通过指示灯显示三种洗衣机状态,即正转,暂停,反转。并能循环控制电动机运行规律为正转20s.停10s.反转20s.停10s・再正传20s,以后反复

2、运行。3•总体设计思路与原理描述洗衣机时控电路,主要思想就是时间控制洗衣机的工作状态,设计该电路得冇如下功能,时间预置,时间显示,状态控制,减法计数等,所以电路大概分一下5部分:(1)时间设置(2)数码管显示电路(3)时序控制电路(4)预置时间和编码电路(5)译码器电路顶层文件用原理图来实现,通过创建各个子模块的原件,在顶层文件中按照底层文件实现的功能进行连线就可以得到完整的顶层原理图。层次图:停止图3.1设计层次图4.分层次方案设计及代码描述4.1设置时间libraryieee;useieee・s

3、td_logic_1164.all;entitysettimeisport(load:instdlogic;k:instd_logic_vector(7downto0);c:bufferstdlogicvector(7downto0));endsettime;architecturegghofsettimeisbeginprocess(load)beginif(load=,1')thencasek(3downto0)iswhen〃0000〃二〉cUOOOOOOOO";when〃0001〃二〉c〈二〃

4、00000001〃when,,0010,,=>c<=,,00000010/,when〃0011〃二〉c〈=〃00000011〃when〃0100〃二〉c〈二〃00000100〃when,,010r,=>c<=,,0000010r,when〃0110〃二〉cUOOOOOl10〃when〃0111〃二〉c〈二〃00000111〃when,,1000,,=>c<=,,00001000/,when〃1001〃二〉c〈=〃00001001〃when〃1010〃二〉c〈二〃00010000〃when"1011〃

5、=>cV〃00010001〃when〃1100〃=>cU〃00010010〃when〃1101〃二〉c〈二〃00010011〃when'll10,,=>c<=,,00010100/,①pu①-(O-S)OIIP4S4no^uQ.po.qco-(0OPUNOPz)JORO①>—oIbJCOT——p户sUHE①J)PJOCiSH①三IPNOqsAPIPU①二-p・p①u.^sun——o^OI——p)s•①①.2①sn二Ie・寸91IIO^O「P4S•①①.2①Bn£.2Auq二-SS①31apu①厂二二

6、二m①S-①-①seopu①LXXXXXXXX、、H〉o〈HsmnoUQqML1012000、、“〉。〈丿、二二、、UQqM厂二二o二、ll〉d畐xJsoru①电LssI、ll〉dw①xu、oo2、、u①qM-、、二I0000、、H〉duIQxJIIs、、u£MLs二二Lll〉d畐XJO二0、、u①电LI2I2I、ll〉dwQxu、、I20、、u£ML0II00IrH〉dwQxJ00I0、、u£ML二二00Lll〉d畐XJ二00、、u①电LII2I2、ll〉dwQxu、oIOO、、ufM-、o二oo

7、oo、、H〉d畐XJSOO、、U£ML二二二o、ll〉d畐XJ0000、、UQqMSH(0O^UMOPg)①luI)——UTelu①,1①seo.sbo①q(①EIR——UIelu①.1)SSQoOJdulm①q-(0ORUSOP9)JO4O①A—.2mo「pvduI①4leumlsSH①££oqsjo二j①JrnoQ^LPJewhenothers二〉temp〈二〃0000000〃;endcase;endprocess;a<=temp(6);b<=temp(5);c<=temp(4);d<=temp

8、(3);e<=temp(2);f<=temp(l);g<=temp(0);endrtl;4.3时序控制电路libraryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;entityshixuisport(cp,en,rd:instdlogic;ql,q2:outstd_logic);endshixu;architecturertlofshixuisbeginprocess(cp)variab

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。