eda课程设计秒表计时器

eda课程设计秒表计时器

ID:32756146

大小:446.39 KB

页数:18页

时间:2019-02-15

eda课程设计秒表计时器_第1页
eda课程设计秒表计时器_第2页
eda课程设计秒表计时器_第3页
eda课程设计秒表计时器_第4页
eda课程设计秒表计时器_第5页
资源描述:

《eda课程设计秒表计时器》由会员上传分享,免费在线阅读,更多相关内容在工程资料-天天文库

1、目录1引言11」课程设计的目的11.2课程设计的内容12EDA、VHDL简介22.1EDA技术22.2硬件描述语言——VHDL2221VHDL的简介22.2.2VHDL语言的特点32.2.3VHDL的设计流程33设计过程43」设计规划43.2顶层模块设计53.3底层模块设计64系统仿真135结束语156参考文献161引言在科技高度发展的少天,集成电路和计算机应用得到了高速发展。尤其是计算机应用的发展。它在人们日常生活已逐渐崭露头角。大多数电子产品多是由计算机电路组成,如:手机、mp3等。而且将来的不久他们的身影将会更频繁的出现在我们身边。各种家用电器

2、多会实现微电脑技术。电脑各部分在工作时多是一时间为基准的。本文就是基于计算机电路的时钟脉冲信号、状态控制等原理设计出的数字秒表。秒表在很多领域充当一个重要的角色。在齐种比赛中对秒表的精确度要求很高,尤其是一些科学实验。他们对时间精确度达到了几纳秒级别。1.1课程设计的目的本次设计的目的就是在掌握EDA实验开发系统的初步使用基础上,了解EDA技术,对计算机系统中时钟控制系统进一步了解,掌握状态机工作原理,同时了解计算机时钟脉冲是怎么产生和工作的。在掌握所学的计算机组成与结构课程理论知识时。通过对数字秒表的设计,进行理论与实际的结合,提高与计算机有关设计

3、能力,提高分析、解决计算机技术实际问题的能力。通过课程设计深入理解计算机结构与控制实现的技术,达到课程设计的目标。1.2课程设计的内容利用VHDL语言设计基于计算机电路中时钟脉冲原理的数字秒表。该数字秒表能对0秒〜59分59.99秒范围进行计时,显示最长时间是59分59秒。计时精度达到lOmSo设计了复位开关和启停开关。复位开关可以在任何情况下使用,使用以后计时器清零,并做好下一次计时的准备。2EDA、VHDL简介2.1EDA技术EDA是指以计算机为工作平台,融合了应用电子技术、计算机技术、智能化技术的最新成果而开发出的电子CAD通用软件包,它根据硬

4、件描述语言HDL完成的设计文件,自动完成逻辑编译、化简、分割、综合、优化、布局布线及仿真,直至完成对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。目前EDA主要辅助进行三个方面的设计工作:IC设计、电子电路设计和PCB设计。没有EDA技术的支持,想要完成超大规模集成电路的设计制造是不可想象的;反过來,生产制造技术的不断进步又必将对EDA技术提出新的要求。2.2硬件描述语言VHDL2.2.1VHDL的简介VHDL语言是一-种用于屯路设计的高级语言。它在80年代的后期出现。最初是由美国国防部开发出来供美军用来提高设计的可靠性和缩减开发周期的一种使用

5、范围较小的设计语言。但是,由于它在一定程度上满足了当时的设计需求,于是他在1987年成为A1/1EEE的标准(IEEESTD1076-1987)。1993年更进一步修订,变得更加完备,成为AI/IEEE的AI/IEEESTD1076-1993标准。目前,大多数的CM)厂商岀品的EDA软件都兼容了这种标准。自IEEE公布了VHDL的标准版木,IEEE-1076(简称87版)之后,各EDA公司相继推出了自己的VHDL设计环境,或宣布自己的设计工具可以和HHDL接口。此后VHDL在电子设计领域得到了广泛的接受,并逐步取代了原有的非标准的硕件描述语言。199

6、3年,IEEE对VIIDL进行了修订,从更高的抽彖层次和系统描述能力上扩展VIIDL的内容,公布了新版本的VHDL,即IEEE标准的1076-1993版本,(简称93版)。现在,VHDL和Ver订og作为IEEE的工业标准硬件描述语言,又得到众多EDA公司的支持,在电子工程领域,已成为事实上的通用硬件描述语言。有专家认为,在新的世纪中,VHDL于Verilog语言将承担起大部分的数字系统设计任务。222VHDL语言的特点VHDL的程序结构特点是将一项工程设计,关于用VHDL和原理图输入进行CPLD/FPGA设计的粗略比较:在设计中,如果采用原理图输入

7、的设计方式是比较直观的。你要设计的是什么,你就直接从库中调出来用就行了。这样比较符合人们的习惯。在对一个设计实体定义了外部界面后,一旦其内部开发完成后,其他的设计就可以直接调用这个实体。这种将设计实体分成内外部分的概念是VHDL系统设计的基本点。应用VHDL进行工程设计的优点是多方面的。(1)与其他的硬件描述语言相比,VIIDL具有更强的行为描述能力,从而决定了他成为系统设计领域最佳的硬件描述语言。强人的行为描述能力是避开具体的器件结构,从逻辑行为上描述和设计大规模电子系统的重要保证。(2)VHDL丰富的仿真语句和库函数,使得在任何大系统的设计早期就

8、能查验设计系统的功能可行性,随时可对设计进行仿真模拟。(3)VIIDL语句的行为描述能力和程序结构决定了他具

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。