veriloghdl实验串行数据检测

veriloghdl实验串行数据检测

ID:32585808

大小:62.36 KB

页数:7页

时间:2019-02-13

veriloghdl实验串行数据检测_第1页
veriloghdl实验串行数据检测_第2页
veriloghdl实验串行数据检测_第3页
veriloghdl实验串行数据检测_第4页
veriloghdl实验串行数据检测_第5页
资源描述:

《veriloghdl实验串行数据检测》由会员上传分享,免费在线阅读,更多相关内容在工程资料-天天文库

1、0妥郵電參院VerilogHDL实验报告(六)系部名称:通信工程专业名称:通信工程班级:班内序号:学生姓名:实验内容:串行数据检测设计模块源代码:modulecheck(clk,rst,in,out);inputclk,rst;inputin;outputout;reg[3:0]state;regout;parameteridle=3'b000,state1=3*5001,state2=3,b010,state3=3'b011,state4=3,bl00,state5=3'bl01,state6=3,bll0,state7=3!bl11;always@(posedgeelkornegedger

2、st)讦(!rst)beginstate<=idle;endelsecase(state)idle:if(in=rbO)state<=statel;elsestate<=idle;state1:if(in==l'bl)state<=state2;elsestate<=state1;state2:if(in=Tbl)state3:state<=state3;elsestate<=state1;if(in==rbO)state<=state4;elsestate<=idle;state4:if(in__fbl)state<=state5;state5:elsestate<=statel;if(in

3、==l'bO)state<=state6;elsestate<=state3;state6:if(in==l'bl)state<=state7;elsestate<=statel;state7:state<=idle;default:state<=idle;endcasealways@(posedgeelkornegedgerst)beginif(!rst)out<=TbO;elseif(state==state7)out<=Tbl;elseout<=rbO;endendmodule二测试模块modulejiance;regelk,rst;reg[23:0]in;wireout;wire[2:

4、0]state;wirex,z;checkwe(clk,rst,in,out);assignx=in[23];always#10clk=〜clk;always@(posedgeelk)in={in[22:0],in[23]};initialbegin$monitor($time,nout=%bH,out);clk=0;rst=l;#2rst=O;#50rst=1;#50rst=O;in^WOll1111111001011010;#500$stop;endendmodule三输出结果刊0卄平啊应"0卄1餐宓[.刖0廿m^zf桐/^r

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。