计算结构poc报告_基于vhdl的poc设计

计算结构poc报告_基于vhdl的poc设计

ID:3156277

大小:167.95 KB

页数:10页

时间:2017-11-20

计算结构poc报告_基于vhdl的poc设计_第1页
计算结构poc报告_基于vhdl的poc设计_第2页
计算结构poc报告_基于vhdl的poc设计_第3页
计算结构poc报告_基于vhdl的poc设计_第4页
计算结构poc报告_基于vhdl的poc设计_第5页
资源描述:

《计算结构poc报告_基于vhdl的poc设计》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、TheReportofPOCDesignMarch29,20111、Purpose1.Thepurposeofthisprojectistodesignandsimulateaparalleloutputcontroller(POC)whichactsaninterfacebetweensystembusandprinter.2.TheuseofMaxPlusIIfordesignandsimulation.2、Taska.ThephysicalmodelofPOCPOCisoneofthemostcommonI/Omodules,namelyth

2、eparalleloutputcontroller.Itplaystheroleofaninterfacebetweenthecomputersystembusandtheperipheral(suchasaprinterorotheroutputdevices).Figure1PrinterConnectionFigure1showstheconnectingofaprintertothesystembusthroughthePOC.ThecommunicationbetweenPOCandtheprinteriscontrolledbya“ha

3、ndshake”protocolillustratedinFigure2.Figure2Thehandshake-timingdiagrambetweenPOCandtheprinterb.ThelogicalmodelofPOCThehandshakingprocessisdescribedasfollows:Whentheprinterisreadytoreceiveacharacter,itholdsRDY=1.ThePOCmustthenholdacharacteratPD(paralleldata)portandproduceapulse

4、attheterminalTR(transferrequest).TheprinterwillchangeRDYto0,takethecharacteratPDandholdtheRDYat0untilthecharacterhasbeenprinted(e.g.5or10ms),thensetRDY=1againwhenitisreadytoreceivethenextcharacter.(Supposetheprinterhasonlyaonecharacter“buffer”register,sothateachcharactermustbe

5、printedbeforethenextcharacterissent).Inordertoeaseyourdesignwork,thefurtherexplanationsofthePOCoperationsandsomedesignhintsaregivenasfollows:ThebufferregisterBRisusedtoholdacharacterthathasbeensentviathesystembuswhilethatthecharacterisbeingtransferredtotheprinter.Thestatusregi

6、sterSRisusedfortwocontrolfunctions:SR7servesasareadyflagforsystembustransferstoBR(liketheprinterRDYsignalfortransfersfromPOCtotheprinter),andSR0isusedtoenableordisableinterruptrequestsfromPOC.IfSR0=1,thenPOCwillinterruptwhenitisreadytoreceiveacharacter(i.e.,whenSR7=1).IfSR0=0,

7、thenPOCwillnotinterrupt.TheotherbitsofSRarenotusedandempty.ThetransferofacharactertoPOCviathesystembusproceedsasfollows.POCindicatesthatitisreadybysettingSR7.TheprocessorreadsSR(byexecutingapollingorinterruptserviceroutine)and,findingSR7=1,writesacharactertoBR.ThePOCclearsSR7w

8、henitloadsthischaracterintoBRtoindicatethatanothercharactersh

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。