基于vhdl的2fsk的信号发生器

基于vhdl的2fsk的信号发生器

ID:3154038

大小:884.00 KB

页数:21页

时间:2017-11-20

基于vhdl的2fsk的信号发生器_第1页
基于vhdl的2fsk的信号发生器_第2页
基于vhdl的2fsk的信号发生器_第3页
基于vhdl的2fsk的信号发生器_第4页
基于vhdl的2fsk的信号发生器_第5页
资源描述:

《基于vhdl的2fsk的信号发生器》由会员上传分享,免费在线阅读,更多相关内容在学术论文-天天文库

1、通信原理课程设计报告题目基于VHDL的2FSK的信号发生器学院电子信息工程学院专业电子信息工程(本)学生姓名XXX学号XXX年级XXX指导教师XX职称XXX二〇一〇年十二月18基于VHDL的2FSK信号发生器摘要:二进制频移键控技术(2FSK)具有方法简单,易于实现,解调不需恢复本地载波,可以异步传输,抗噪声和抗衰落性能较强等优点。本文基于VHDL和MAX+plusⅡ软件开发平台,利用VHDL硬件描述语言,自上而下地逐层完成相应的描述、综合、优化、仿真与验证,直到生成器件2FSK信号发生器的设计,介绍了具体设计方法和仿真分析结果。上述设计除了系统行为和功能描述以外,其他

2、所有的功能都是由计算机自动完成。该设计容量大、速度快、体积小,在电子行业应用中,占有极其重要的地位。关键词:2FSK;VHDL;信号发生器18目录第1章绪论11.1选题背景11.2研究的主要内容1第2章2FSK信号发生器的原理22.12FSK信号的调制与解调22.22FSK信号产生原理22.3VHDL语言特点32.4VHDL语言结构3第3章2FSK信号发生器建模与程序设计53.12FSK的核心程序段53.22FSK信号发生器各模块63.2.1分频器63.2.2m序列产生器73.2.3跳变检测73.2.4正弦信号的产生83.3仿真波形及分析8结论10参考文献11附录121

3、8成都学院(成都大学)课程设计报告第1章绪论1.1选题背景随着电子计算机的普及,数据通信技术正在迅速发展。数字频率调制是数据通信中常见的一种调制方式。二进制频移键控方法简单,易于实现,解调不需恢复本地载波,支持异步传输,抗噪声和抗衰落性能也较强。因此2FSK调制技术在通信行业得到了广泛的应用,并且主要适用于低、中速数据传输。2FSK是用两个不同频率的正弦波信号构成,分别表示基带信号的0和1,通过发送这两正弦信号来实现对基带信号的传输。2FSK中M序列发生器可以看作是一个基带信号源,在实际应用中,可以由具体信号源来替代。12MHz时钟信号经过分频器产生240KHz、120

4、KHz和1.2KHz三个频率信号,1.2KHz信号用来产生1.2KHz的M伪随机序列信号[1]。2选1数据选择器由M序列信号控制在240KHz和120KHz两个信号中选择一个输出。正弦波发生器根据输入信号的频率产生两个不同频率的数字正弦波信号,经过D/A后变成不同频率的正弦波信号输出。由于微电子技术的迅猛发展,使得VHDL的性能指标,例如规模、功能、时间等性能也越来越好。VHDL在数字系统设计中占据了越来越重要的位置。而随着器件的发展,开发环境也进一步得到优化。VHDL程序的设计可用Altera公司的MAX+PlusⅡ软件开发系统来实现,它为用户提供了良好的开发环境,包

5、含有丰富的库资源,很容易实现各种电路设计,它支持多种输入方式,并有极强的仿真系统。它最大的优点是支持在线调试,这对于长期从事电路设计调试者来说极大地提高了效率。缩短了产品开发和市场之间的距离,这标志着EDA(ElectronicDesignAutomation)技术已经成熟。1.2研究的主要内容(1)研究VHDL的语言特点和基本结构。(2)研究2FSK基本原理。(3)基于VHDL硬件描述语言绘制2FSK信号发生器框图。(4)基于VHDL硬件描述语言的建模及程序设计,分析2FSK信号发生器各模块的实现。以及建立仿真图形。(5)对仿真图形进行分析总结。18成都学院(成都大学

6、)课程设计报告第2章2FSK信号发生器的原理2.12FSK信号的调制与解调2FSK是利用载波的频率变化来传递数字信息的一种非线性调制方法。在2FSK(二进制频移键控)系统中,使用两个不同频率的载波分别代表数字信号“0”和“1”,2FSK信号的解调和调制是一个相反的过程。2FSK信号的解调是将已调的载波信号中,恢复为调制前的基带信号“0”和“1”。2.22FSK信号产生原理数字频率调制又称频移键控(FSK),二进制频移键控记作2FSK。数字频移键控是用载波的频率来传送数字消息,即用所传送的数字消息控制载波的频率。2FSK信号便是符号“1”对应于载频,而符号“0”对应于载频

7、(与不同的另一载频)的已调波形,而且与之间的改变是瞬间完成的。从原理上讲,数字调频可用模拟调频法来实现,也可用键控法来实现。模拟调频法是利用一个矩形脉冲序列对一个载波进行调频,是频移键控通信方式早期采用的实现方法。2FSK键控法则是利用受矩形脉冲序列控制的开关电路对两个不同的独立频率源进行选通。键控法的特点是转换速度快、波形好、稳定度高且易于实现,故应用广泛。2FSK信号的产生方法如图2-1所示。图中为代表信息的二进制矩形脉冲序列,即使2FSK信号[2]。s(t)模拟调频器s(t)010010图2-12FSK信号产生方法根据以上2FSK信

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。