基于vhdl编程fpga的地铁自动售票机

基于vhdl编程fpga的地铁自动售票机

ID:3154031

大小:49.00 KB

页数:12页

时间:2017-11-20

基于vhdl编程fpga的地铁自动售票机_第1页
基于vhdl编程fpga的地铁自动售票机_第2页
基于vhdl编程fpga的地铁自动售票机_第3页
基于vhdl编程fpga的地铁自动售票机_第4页
基于vhdl编程fpga的地铁自动售票机_第5页
资源描述:

《基于vhdl编程fpga的地铁自动售票机》由会员上传分享,免费在线阅读,更多相关内容在学术论文-天天文库

1、地铁自动售票机一、设计要求1、功能描述用于模仿地铁售票自动售票,完成地铁售票的核心控制功能。2、功能要求        售票机有两个进币孔,一个是输入硬币,识别的范围是一元硬币;一个是纸币,识别的范围是一元、两元、五元、十元、二十元。乘客可以连续多次投入钱币。乘客一次只能选择一个出站口,购买车票时,乘客先选出站口,有六个出站口可供选择,再选择所需的票数,然后投币,投入的钱币达到或者超过所需金额时,售票机自动出票,并找零。本次交易结束后,等待下一次交易。在选择出站口、所需票数以及在投币期间,乘客可以按取消键取消操作,钱币自动退出。二、实验分析1、 买票时,乘客按下开

2、始键,售票机进入站台选择程序,乘客选择出站口后,可以按取消键重新选择,否则售票机自动进入票数选择程序,同样这时可以按下取消键重新开始选择出站口以及票数。2、 当选择好出站口以及所需票数时,乘客可以投硬币或者用纸币,当所投的钱币总额大于或者等于票价时,售票机自动出票以及找零。期间,可以按下取消键重新开始选择,并退出所有的钱币。3、 乘客若还没选择出站口或者票数,就投币或者使用纸币,售票机会自动退出所有的钱币。4、 有六个站台可供乘客选择,每个乘客最多可以买3张票,六个站台编号为1到6,票价从2元依次递增到7。三、系统流程图 四、程序源代码LIBRARYIEEE;US

3、EIEEE.std_logic_1164.ALL;USEIEEE.std_logic_arith.ALL;USEIEEE.std_logic_unsigned.ALL;ENTITYmetrosellIS   PORT(            clk:instd_logic;                                                               --settheclocksignal            startselect:instd_logic;                              

4、        --starttoselecttheplatform            sure:instd_logic;                                                            --thisbuttonistosaveyourforwardstep(s)            coin1y:instd_logic;                                               --1yuancoin            pmoney1y:instd_logic;  

5、                                               --1yuanpapermoney            pmoney2y:instd_logic;                                                 --2yuanpapermoney            pmoney5y:instd_logic;                                                 --5yuanpapermoney            pmoney10y:i

6、nstd_logic;                                               --10yuanpapermoney            pmoney20y:instd_logic;                                               --20yuanpapermoney            cancel:instd_logic;                                               --canceltheforwardstep(s)       

7、     number:instd_logic_vector(3downto0);                --choosethenumberofthetickets            platform:instd_logic_vector(3downto0);     --choosetheplatformyouwanttoreach            moneystorage:outstd_logic;                                      --tostorethemoney            accept

8、mo:ou

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。