基于fpga的温度显示系统

基于fpga的温度显示系统

ID:3146238

大小:296.00 KB

页数:31页

时间:2017-11-20

基于fpga的温度显示系统_第1页
基于fpga的温度显示系统_第2页
基于fpga的温度显示系统_第3页
基于fpga的温度显示系统_第4页
基于fpga的温度显示系统_第5页
资源描述:

《基于fpga的温度显示系统》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、生产实习报告基于FPGA的温度显示系统现代电子系统质量提高工程报告专业:电子科学与技术班级:电子12-1姓名:学号:1206040124一、生产实习任务极其完成情况1.设计任务设计了一种基于FPGA和LM75A的温度测量系统。硬件设计上,系统以EP4CE6E22C8N为主控芯片,采用数字温度传感器LM75A检测环境温度,并利用LM75A自带的IIC总线接口传输数据,通过数码管将温度实时显示出来;软件设计上,采用自顶向下模块化设计思想,先设计出IIC通信模块、温度显示模块,然后再编写顶层模块,将2个模块整合。2.电路图1.程序流程图2.编写的程序(一)功

2、能模块:libraryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;useieee.std_logic_arith.all;--实体--entityat24c08isport(clk:instd_logic;--时钟信号rst:instd_logic;--复位信号scl:outstd_logic;--i2c时钟线sda:inoutstd_logic;--i2c数据线urv_1:instd_logic;--上限值1urv_2:instd_logic;--上限值2sel:out

3、std_logic_vector(3downto0);seg:outstd_logic_vector(7downto0);beep:outstd_logic--蜂鸣器输出信号线);endat24c08;--结构体--architecturearch_at24c08ofat24c08issignalclk_sslow:std_logic;signalcounter:std_logic_vector(23downto0);signalreaddata_reg_buf:std_logic_vector(15downto0);signalreaddata_te

4、n:integerrange0to24564;signalreaddata_std:std_logic_vector(15downto0);signalqian:std_logic_vector(3downto0);signalbai:std_logic_vector(3downto0);signalshi:std_logic_vector(3downto0);signalge:std_logic_vector(3downto0);signalqian_0:integerrange0to10;signalbai_0:integerrange0to10;

5、signalshi_0:integerrange0to10;signalge_0:integerrange0to10;--数码管部分信号signalsel_0:std_logic_vector(3downto0);signalseg_0:std_logic_vector(7downto0);signalcount:std_logic_vector(13downto0);signalclk_slow:std_logic;signalscan_num:std_logic_vector(1downto0);signalseg_data_buf:std_log

6、ic_vector(3downto0);--i2c部分信号signalsda_buf:std_logic;--i2c输入/输出数据寄存器signallink:std_logic;--sda输入输出方向寄存器signalreaddata_reg:std_logic_vector(15downto0);--i2c读回的数据寄存器signalsda_0:std_logic;--与sda端口连接信号signalscl_0:std_logic;--与scl端口连接信号--按键消抖部分信号signaldelay_cnt:std_logic_vector(19dow

7、nto0);--消抖延时计数器signalstart_delay:std_logic;--按键延时开始--分频部分信号signalclk_div:std_logic_vector(12downto0);--分频计数器,5000分频,10khz--蜂鸣器部分信号signalbeep_en:std_logic;--蜂鸣器使能信号signalbeep_buf:std_logic;--与beep端口连接的信号--时钟部分信号signallevel_high:std_logic;--高电平中间值,1249signallevel_low:std_logic;--低

8、电平中间值,3749signallevel_hig_edge:std_logic;--上升沿,

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。