基于fpga的16qam调制器的实现1

基于fpga的16qam调制器的实现1

ID:30509287

大小:639.00 KB

页数:20页

时间:2018-12-30

基于fpga的16qam调制器的实现1_第1页
基于fpga的16qam调制器的实现1_第2页
基于fpga的16qam调制器的实现1_第3页
基于fpga的16qam调制器的实现1_第4页
基于fpga的16qam调制器的实现1_第5页
资源描述:

《基于fpga的16qam调制器的实现1》由会员上传分享,免费在线阅读,更多相关内容在工程资料-天天文库

1、基于FPGA的16QAM调制器的实现1文档类型设计及测试文档作者王晓西学号109220081001003日期2010年12月5日20目录一、QAM调制原理4二、16QAM调制器的实现5三、16QAM调制器的仿真结果7四、附录111顶层模块112时钟分频模块123串并转换模块134差分模块和星座映射模块145DDS和加法器模块166testbench源程序217用matlab进行频谱分析2220一、QAM调制原理正交幅度调制(QAM)是一种把数字信息包含在载波的振幅和相位中的数字调制方式,也是ASK和

2、PSK的结合。式(1)表示了QAM信号,它还可用式(2)来表示在QAM中是如何结合幅度和相位调制的。(1)(2)16QAM信号的产生有两种基本方法:正交调幅法是用两路正交的四电平振幅键控信号叠加而成;四相叠加法是用两路独立的四相移相键控信号叠加而成。其中,16QAM正交调幅法的调制方框图如图1所示。输入的二进制数据经过串一并变换分别进入a1、a2、b1和b2,4个信道:每个信道的数据速率降为原来的1/4。2—4电平转换器产生一个四电平的PAM信号,每个2~4电平转换器的输出有2种量值和2种相位。两个

3、PAM信号分别调制同相和正交载波,每一个调制器有4种可能的输出,经线性加法器合并产生16QAM信号。图116QAM调制原理图每路PAM信号的量值和相位由输入的二进制数据及其比特分配模式——星座图决定。16QAM星座图通常有自然码逻辑和Gray码逻辑2种比特模式。因为Gray码可以消除相邻点间符号差错中的2比特误差,即可减小相同符号差错率中的误比特率,IEEE802.1la和HiperLAN/2标准中所有的星座都是Gray码的。本文在设计16QAM的时候,采用图2的Gray模式进行编码,图中4个比特位

4、从左至右的顺序为blb2ala2。图216QAM星座图的Gray码逻辑模式20二、16QAM调制器的实现系统输入数据速率为100Kb/s;系统正交调制载波频率为1MHz。调制部分主要由Ahera公司推出的Cyclone系列器件EPlC6Q240C8实现.该器件完成对输入数据串并变换、差分编码、星座影射等。设计EDA工具为Ahera集成设计软件QuartusII7.2版本;硬件描述VerilogHDL语言完成逻辑设计。本系统还用到仿真工具Matlab,搭建16QAM调制器的simulink模块,采用示

5、波器观看波形。1系统总体框图16QAM调制器的实现主要包括时钟模块、串并变换模块、查分编码模块、星座映射、DDS模块、加法器模块。系统总体框图如下:图3系统总体框图系统顶层设计如下:图4系统顶层设计2时钟分频时钟分频模块clk利用N分频器对10MHz系统时钟信号进行N分频,以产生调制器模块所需的工作时钟。N分频器是由模N/2计数器实现的,分频输出信号模N/2可自动取反,以产生占空比为1:1的时钟信号。由于信号源产生的基带信号为1bit串行数据,其速率为100kbps,经并串转换后的4bit并行数据速

6、率为400kbps,所以,本设计还采用了100分频器和400分频器。另外将10Mhz直接送入到DDS模块,使得输出的载波频率为1Mhz.203串并转换1bit的数据送过来后,通过串并变换,将输入的第一个数据同它后面的三个数据同时输出,形成4bit的并行信号。4差分编码和星座映射在本模块,同样根据调制方式不同,选用不同位的数据来进行处理。由于几乎都采用相干检测的方式进行解调,因此在解调端载波恢复存在着4个相位稳定点,即提取的相干载波可能与接收信号载波有4种相位关系,称作4重相位模糊度旧3。部分差分编码

7、能消除4重相位模糊度对解调的影响。而部分差分编码相对于全差分编码由于减少了差分编码的bit数。因而减少了误码扩散,具有较好的误码性能。由于同样的符号误码率下,采用格雷编码比自然码的比特误码率小,所以多幅度电平的电平逻辑采用格雷编码映射。星座影射模块输入4bit并行数据,输出为I/Q路对应的四幅值之一;4bit并行信号需要将其影射到信号平面,星座影射实现采用查表法分别输出I/Q对应的幅值.对应的量化表如下:表1星座映射I/Q路输入数据对应的量化值00-201-11111025DDS和线性加法器在DDS

8、模块中,采用系统时钟10Mhz,由公式可知:但取频率字为K=32'b11001100110011001100110011001,fc=10MHZ,N=32时,可得到输出的正余弦波的频率为1MHz。三、16QAM调制器的仿真结果1使用Quartus自带仿真器仿真,其总体仿真图如下:图516QAM调制器的quartus仿真202将程序导入到modelsim中,通过编写testbench,得到如下仿真结果:图616QAM调制器的modeldsim仿真3使用MATLAB中si

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。