并行乘法器实验报告

并行乘法器实验报告

ID:30185934

大小:18.29 KB

页数:8页

时间:2018-12-27

并行乘法器实验报告_第1页
并行乘法器实验报告_第2页
并行乘法器实验报告_第3页
并行乘法器实验报告_第4页
并行乘法器实验报告_第5页
资源描述:

《并行乘法器实验报告》由会员上传分享,免费在线阅读,更多相关内容在应用文档-天天文库

1、为了适应公司新战略的发展,保障停车场安保新项目的正常、顺利开展,特制定安保从业人员的业务技能及个人素质的培训计划并行乘法器实验报告  EDA技术与应用  实验报告  实验名称:  姓名:  学号:班级:  时间:  并行乘法器通信XX  南京理工大学紫金学院电光系  一、实验目的  1、学习包集和元件例化语句的使用。  2、学习FLU电路的设计。  3、学习并行乘法电路的设计。  二、实验原理  并行乘法器的电路原理图如下图所示,主要由全加器和与门构成。  并行乘法器原理图  三、实验内容  1、and_2  libraryieee;  use_logic_;目的-通过该培训员工可对保安行

2、业有初步了解,并感受到安保行业的发展的巨大潜力,可提升其的专业水平,并确保其在这个行业的安全感。为了适应公司新战略的发展,保障停车场安保新项目的正常、顺利开展,特制定安保从业人员的业务技能及个人素质的培训计划  entityand_2is  architectureand_2ofand_2is  begin  ytools->programmer,将booth_下载到FPGA中。注  意进行programmer时,应在program/configure下的方框中打勾,然后下载。  2.在实验台上通过模式开关选择FPGA独立调试模式010。3.将短路子DZ3短接且短路子DZ4断开,使FPGA

3、-CPU所需要的时钟使用正单脉冲时钟。  四、实验现象  本实验实现4位数的Booth乘法。输入输出规则对应如下:  1.输入的4位被乘数md3~md0对应开关SD11~SD8。2.输入的4位乘数mr3~mr0对应开关SD3~SD0。3.按单脉冲按钮,输入脉冲,也即节拍。  4.乘积productp7~p0对应灯A8~A1,辅助位A0。5.当计算结束时,final信号为1,对应灯R7。  如表的booth算法举例,一共需要0~8九个小步骤计算出结果。本实验也是通过九个小步骤实现的,通过按单脉冲按钮输入脉冲,观察积寄存器的变化,掌握booth乘法器的原理。目的-通过该培训员工可对保安行业有初

4、步了解,并感受到安保行业的发展的巨大潜力,可提升其的专业水平,并确保其在这个行业的安全感。为了适应公司新战略的发展,保障停车场安保新项目的正常、顺利开展,特制定安保从业人员的业务技能及个人素质的培训计划  拨动开关SD11~SD8输入4位被乘数0010,SD3~SD0输入4位乘数1101。  按动单脉冲按钮,输入脉冲,对照表观察积寄存器即灯A8~A0的变化情况,当灯R7亮时,说明计算结束,灯A8~A1为最后相乘结果。根据以上操作细节,仿照表填写表中各步骤。  进行新的乘法运算时,或者说当上一次运算结束即灯R7亮时,输入新的被乘数、乘数,然后按动单脉冲开关即可观察正确的寄存器结果。00:0的

5、中间,无任何操作;  五、思考题  试述Booth乘法器的原理,即为什么可以用相邻两位的差来决定加减操作  Booth算法的关键在于把1分类为开始、中间、结束三种。  当然一串0的时候加法减法都不做。因此,总结1的分类情况有4种如表所示:  Booth2位的4种情况来  进行加或减操作,第二步仍然是将积寄存器右移。算法描述如下:根据当前位和其右边的位,做如下操作:  00:0的中间,无任何操作;  01:1的结束,将被乘数加到积的左半部分;10:1的开始,积的左半部分减去被乘数;11:1的中间,无任何操作。将积寄存器右移1位。目的-通过该培训员工可对保安行业有初步了解,并感受到安保行业的发

6、展的巨大潜力,可提升其的专业水平,并确保其在这个行业的安全感。为了适应公司新战略的发展,保障停车场安保新项目的正常、顺利开展,特制定安保从业人员的业务技能及个人素质的培训计划  需要注意的是,因为Booth乘法器是有符号数的乘法,因此积寄存器移位的时候,为了保留符号位,进行算术右移,不像前面的算法逻(转载于:写论文网:并行乘法器实验报告)辑右移就可以了。  六、附录代码:  libraryieee;  use_logic_;  use_logic_;entityBoothis  port(  multiplicand:instd_logic_vector(3downto0);multipl

7、ier:instd_logic_vector(3downto0);clk:instd_logic;clr:instd_logic;  product:bufferstd_logic_vector(8downto0);final:outstd_logic);  endentity;  architecturertlofBoothis  signalm:std_logic_vector(8downto0):=multipli

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。