基于vhdl电子密码锁的设计说明书

基于vhdl电子密码锁的设计说明书

ID:29472357

大小:1018.54 KB

页数:54页

时间:2018-12-20

基于vhdl电子密码锁的设计说明书_第1页
基于vhdl电子密码锁的设计说明书_第2页
基于vhdl电子密码锁的设计说明书_第3页
基于vhdl电子密码锁的设计说明书_第4页
基于vhdl电子密码锁的设计说明书_第5页
资源描述:

《基于vhdl电子密码锁的设计说明书》由会员上传分享,免费在线阅读,更多相关内容在应用文档-天天文库

1、摘要FPGA/VHDL是近几年集成电路中发展最快的产品。由于FPGA性能的高速发展以及设计人员自身能力的提高,可编程逻辑器件供应商将进一步扩大可编程芯片的领地,将复杂的专用芯片挤向高端和超复杂应用。据ICInsights的数据显示,FPGA市场从1999年的29亿美元增长到去年的56亿美元,几乎翻了一番。Matas预计这种高速增长局面以后很难出现,但可编程逻辑器件依然是集成电路中最具活力和前途的产业。本文介绍的VHDL密码锁应具有如下功能:密码预先存入寄存器中,开锁时,输入密码存入另一寄存器中,当按下“确定”键时,启动比较器,比较

2、两个寄存器中的内容,当结果相同时开锁;当结果不同时不开锁。用户需要修改密码时,先开锁,再按“设定密码”,清除预先存入的密码,通过键盘输入新的2位十进制码,按“确定”完成。关键词:VHDL,密码锁,矩阵39目录摘要1目录2一、设计要求3二电路组成3三功能电路的设计41、总体设计框图42、键盘接口电路43.时序产生电路64.键盘扫描电路7395.键盘消抖电路86.键盘译码电路107.按键存储电路13(1)SISO—串行输入/串行输出14(2)SIPO--串行输入/并行输出15(3)PISO--并行输入/串行输出16(4)PIPO--并

3、行输入/并行输出178.密码设置和比较模块189.电锁控制电路设计19(1)数字按键输入部分19(2)功能键输入部分19(3)三种工作模式19附件1:程序清单20一、设计要求设计一个简单的数字电子密码锁,密码为6位。功能1、39密码输入:每按下一个键,要求在数码管上显示,并依次左移;2、密码清除:清除密码输入,并将输入置为”000000”;3、密码修改:将当前输入设为新的密码;4、上锁和开锁。二电路组成为达到以上功能,可将电子密码锁分为以下几个模块:1、键盘接口电路键盘矩阵、键盘扫描、键盘消抖、键盘译码及按键存储。2、电锁控制电路

4、:数字按键输入、存储及清除。功能按键的设计。密码清除、修改与存储。电锁的激活与解除。3、输出显示电路BCD译码、动态扫描电路。39三功能电路的设计1、总体设计框图2、键盘接口电路矩阵式键盘工作原理:矩阵式键盘是一种常见的输入装置,在计算机、电话、手机、微波炉等各工电子产品中被广泛应用。如图所示为一3×4矩阵式键盘。矩阵式键盘以行、列形式排列,图中为4行339列,键盘上的每一个按键盘其实是一个开关电路,当某键被按下时,该按键所对应的位置就呈现逻辑0的状态,键盘的扫描可以以行扫或列扫方式进行,图中为行扫方式,KEYR3—KEYR0为扫

5、描信号,其中的某一位为0即扫描其中的一行,具体见表1-1.键盘扫描信号KEYR3与第一行相连,KEYR2与第二行相连,依此类推。很显然,扫描信号的变化顺序为:0111、1011、1101、1110,周而复始。在扫描的过程中,当有键按下时,对应的键位就为逻辑0状态,从而从KEYC2..0读出的键值相应列为0.具体情况如表1-2所示:若从KEYC2..0读出的值全为1时,表示没有键被按下,则不进行按键的处理。如果的键被按下,则将KEYC2..0读出的送至键盘译码电路进行译码。表1-2键盘扫描与其对应的键值的关系时序产生电路:39时序电

6、路的产生:在一个系统的设计中,往往需要多种时钟信号,最为方便的方法是利用一个自由计数器来产生各种需要的频率。本电路需要:系统主时钟、消抖取样时钟和动态扫描时钟。3.时序产生电路有如下VHDL程序,请分析其输出结果:LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;USEIEEE.STD_LOGIC_ARITH.ALL;USEIEEE.STD_LOGIC_UNSIGNED.ALL;ENTITYfree_counterISPORT(CLK:INSTD_LOGIC;39CLK_A:OUTSTD_LOGIC;C

7、LK_B:OUTSTD_LOGIC_VECTOR(1DOWNTO0));ENDfree_counter;ARCHITECTUREaOFfree_counterISSIGNALQ:STD_LOGIC_VECTOR(6DOWNTO0);BEGINPROCESS(CLK)BEGINIFCLK'EVENTANDCLK='1'THENQ<=Q+1;ENDIF;ENDPROCESS;CLK_A<=Q(1);CLK_B<=Q(4DOWNTO3);ENDa;分析:首选信号Q建立一个9位自由计数器,对输入主时钟进行降频处理;使用CLK_A<=Q(1

8、)语句,取得一个脉冲波形,对主时钟进行2分频,其值为0、1、0、1;使用CLK_B<=Q(4DOWNTO3)语句,取得一脉冲序列,依次为00、01、10、11、00.;394.键盘扫描电路目标:提供键盘扫描信号,即表1-1中的KEYR3..0,变化

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。