基于vhdl的数字电子钟的设计与实现说明书

基于vhdl的数字电子钟的设计与实现说明书

ID:29472340

大小:1.03 MB

页数:54页

时间:2018-12-20

基于vhdl的数字电子钟的设计与实现说明书_第1页
基于vhdl的数字电子钟的设计与实现说明书_第2页
基于vhdl的数字电子钟的设计与实现说明书_第3页
基于vhdl的数字电子钟的设计与实现说明书_第4页
基于vhdl的数字电子钟的设计与实现说明书_第5页
资源描述:

《基于vhdl的数字电子钟的设计与实现说明书》由会员上传分享,免费在线阅读,更多相关内容在应用文档-天天文库

1、长沙理工大学《计算机组成原理》课程设计报告邹其昌学院计算机与通信工程专业网络工程班级网络工程08-02学号200858080220学生姓名邹其昌指导教师蔡烁课程成绩完成日期2010年12月31日22课程设计任务书计算机与通信工程学院计算机科学与技术专业课程名称计算机组成原理课程设计时间2010~2011学年第一学期17~18周学生姓名邹其昌指导老师蔡烁题目基于VHDL的数字电子钟的设计与实现主要内容:(1)了解,并掌握基本VHDL语言编程;(2)设计并实现数字电子钟;(3)学习电路仿真技术,利用电路仿真优化已有电路;(4)撰写

2、课程设计报告。要求:(1)通过对相应文献的收集、分析以及总结,给出相应课题的背景、意义及现状研究分析。(2)通过课题设计,掌握计算机组成原理的分析方法和设计方法。。(3)学按要求编写课程设计报告书,能正确阐述设计和实验结果。(4)学生应抱着严谨认真的态度积极投入到课程设计过程中,认真查阅相应文献以及实现,给出个人分析、设计以及实现。应当提交的文件:(1)课程设计报告。(2)课程设计附件(主要是源程序)。22课程设计成绩评定学院计算机通信工程专业网络工程班级网络08-02班学号200858080220学生姓名邹其昌指导教师蔡烁课

3、程成绩完成日期2010年12月28日指导教师对学生在课程设计中的评价评分项目优良中及格不及格课程设计中的创造性成果学生掌握课程内容的程度课程设计完成情况课程设计动手能力文字表达学习态度规范要求课程设计论文的质量指导教师对课程设计的评定意见综合成绩指导教师签字年月日22基于VHDL的数字电子钟的设计与实现学生:邹其昌指导老师:蔡烁摘要:本课程设计完成了数字电子钟的设计,数字电子钟是一种用数字显示秒、分、时的计时装置,由于数字集成电路技术的发展和采用了先进的石英技术,它使数字钟具有走时准确、性能稳定、携带方便等优点。数字钟已成为人

4、们日常生活中必不可少的必需品,广泛用于个人家庭以及办公室等公共场所,给人们的生活带来极大的方便。在这里我们将已学过的比较零散的数字电路的知识有机的、系统的联系起来用于实际,来培养我们的综合分析和设计电路的能力。关键词:电子钟;门电路及单次按键;琴键开关Abstract:Thiscourseisdesignedfordigitalelectricclockdesign.Digitalelectricclockisakindoftimingdevicethatusingdigitaldisplaysecond,pointsandh

5、our.Astigitalintegratedcircuittechnologydevelopmentandtheuseofadvancedquartztechnology,itmakesadigitalclockhaskeepgoodtime,stableperformance,easytocarry,etc.AdigitalclockhasbecomenecessaryinPeople'sDailylifenecessities,widelyusedinindividualhomesandofficesinpublicpl

6、aces.Itbringsgreatconveniencetothelifeofpeople.Herewewillbeusewhatwehasstudiedthecomparativescatteredofdigitalcircuit,systematicknowledgeorganiclinkforpractical,todevelopourcomprehensiveanalysisandcircuitdesignability.22Keywords:electricclock,electrocircuitgate,swee

7、tchoflyrakey22目录1引言-----------------------------------------------------------------------------------------61.1背景和目的---------------------------------------------61.2课程设计的内容-----------------------------------------62EDA与VHDL简介----------------------------------------

8、----------------------72.1EDA的介绍--------------------------------------------72.2VHDL的介绍-------------------------------------------82.2.1VH

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。