分频电路的设计.doc

分频电路的设计.doc

ID:29005046

大小:249.50 KB

页数:6页

时间:2018-12-15

分频电路的设计.doc_第1页
分频电路的设计.doc_第2页
分频电路的设计.doc_第3页
分频电路的设计.doc_第4页
分频电路的设计.doc_第5页
资源描述:

《分频电路的设计.doc》由会员上传分享,免费在线阅读,更多相关内容在学术论文-天天文库

1、数电第二次大作业--2.5分频电路的设计班级:001111姓名:江新远00111116时间:2013年6月16日一、设计要求利用所学数字电路知识设计2.5分频电路。二、设计思路本次数电实验共采用两种设计方案,各方案具体实现思想如下:方案一:首先进行模3的计数,在计数到2时,将输出时钟赋为'1',而当回到计数0时,又赋为0,这样,当计数值为2时,输出时钟才为1,因此,只要保持计数值2为半个输入时钟周期,即可实现2.5分频时钟。因此,保持2为半个时钟周期即是该设计的关键。从中可以发现,因为计数器是通过时钟上升沿计数,故可在计数为2时对计

2、数触发时钟进行翻转,那么,时钟的下降沿就变成了上升沿。即在计数值为2期间的时钟下降沿变成了上升沿,也就是说,计数值2只保持了半个时钟周期。由于时钟翻转下降沿变成上升沿,因此,计数值变为0。所以,每产生一个2.5分频时钟的周期,触发时钟都要翻转一次。方案二:将2.5分频电路分解为两个五分频电路,其中一个为上升沿触发,另外一个为下降沿触发,两个电路之间存在2.5个时钟脉冲的间隔,将这两个5分频电路的输出用或门进行或运算,即可得出所需2.5分频电路。三、电路设计过程方案一:1.实验原理图如下。电路是一个分频系数为2.5的分频器电路,该电路

3、是用VHDL来设计半整数分频器的。它由模3计数器、异或门和D触发器组成。异或门带预置数功能的模N减法计数器2分频计数器2.其中模3计数器部分可以用74LS161实现,也可以用VHDL直接编写,本次设计采用VHDL语言编写模3计数器。下面是模3计数器的VHDL源代码libraryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;entitydecisport(fin:instd_logic;fout:bufferstd_logic);enddec;archit

4、ecturefpqofdecissignalclk,div2:std_logic;signalcount:std_logic_vector(3downto0);signalpreset:std_logic_vector(3downto0):="0011";beginclk<=finxordiv2;p1:process(clk)beginifclk'eventandclk='1'thenif(count="0000")thencount<=preset-1;fout<='1';elsecount<=count-1;fout<='0';

5、endif;endif;endprocessp1;p2:process(fout)beginif(fout'eventandfout='1')thendiv2<=notdiv2;endif;endprocessp2;endfpq;生产元件符号:3.电路设计图如下4.仿真结果:方案二:1.计数器使用74LS161设计实现,采用Oc置数法(使用后5个状态)来实现计数器,即计到15(1111)状态时产生进位信号,利用进位信号使计数器返回初态11(1011)。2.列出状态转移表,根据状态转移表得出输出表达式:3.电路设计图如下:4.仿真结果

6、:

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。