vhdl硬件编程语言的综述

vhdl硬件编程语言的综述

ID:28858007

大小:96.50 KB

页数:15页

时间:2018-12-14

vhdl硬件编程语言的综述_第1页
vhdl硬件编程语言的综述_第2页
vhdl硬件编程语言的综述_第3页
vhdl硬件编程语言的综述_第4页
vhdl硬件编程语言的综述_第5页
资源描述:

《vhdl硬件编程语言的综述》由会员上传分享,免费在线阅读,更多相关内容在工程资料-天天文库

1、实用标准文案课程名称:实用EDA技术与VHDL教程题目:VHDL硬件编程语言综述分院:电信分院  专业班级:通信2012-2班学 号:20120210420219 学生姓名:李逸指导教师:2015年6月19日星期五精彩文档实用标准文案第一章、VHDL简介-2-1.1、发展历程-3-1.2、VHDL的特点-3-第二章、VHDL程序的组成-3-2.1库-3-2.2程序包-4-2.3实体-5-2.4结构体-6-2.5配置-6-第三章、数据类型、算符、数据对象、属性-6-3.1标识符-6-3.2数据对象-7-3.3数据类型-7-3.4运算符-8-3.5VHDL属性-

2、8-第四章、顺序语句与并行语句-9-4.1顺序语句-9-1、赋值语句-9-2、WAIT语句-9-3、IF语句-10-4、CASE语句-10-5、LOOP循环语句-10-6、NEXT和EXIT语句-11-7、NULL空操作语句-11-8、RETURN语句-11-9、ASSERT断言语句-11-10、REPORT语句-11-4.2、并行语句-12-1、并行信号赋值语句-12-2、块语句-12-3、进程语句-13-4、子程序调用语句-13-5、参数传递语句-13-6、元件例化语句-14-7、生成语句-14-8、并行断言语句-15-参考文献-15-精彩文档实用标准文

3、案第一章、VHDL简介HDL(HardwareDescriptionLanguage):硬件描述语言,描述数字电路和系统的语言。具体来说,用于描述数字系统的结构、行为、功能和接口。在EDA设计中,设计者利用硬件描述语言,可以描述自己的设计思想,完成设计输入的步骤。设计输入共有三种方法——原理图、文本、波形输入,其中,文本输入方式就是用硬件描述语言跟计算机交流,让计算机读懂设计者的设计。VHDL的全称是Very-High-SpeedIntegratedCircuitHardwareDescriptionLanguage,译作甚高速集成电路硬件描述语言,是当前广

4、泛使用的HDL语言之一,并被IEEE和美国国防部采用为标准的HDL语言。1.1、发展历程美国国防部1982年开发VHDL语言,在1987年被IEEE采用为标准硬件描述语言。在实际使用过程中,发现1987年版本的缺陷,并于1993年对87版进行了修订。因此,现在有两个版本的VHDL语言。1)1987年的IEEE1076(VHDL87)2)1993年进行了修正(VHDL93)VHDL语言目前已成为,开发设计可编程逻辑器件的重要工具。1.2、VHDL的特点VHDL语言作为一种标准的硬件描述语言,具有结构严谨、描述能力强的特点,由于VHDL语言来源于C、Fortra

5、n等计算机高级语言,在VHDL语言中保留了部分高级语言的原语句,如if语句、子程序和函数等,便于阅读和应用。具体特点如下:1.支持从系统级到门级电路的描述,既支持自底向上(bottom-up)的设计也支持从顶向下(top-down)的设计,同时也支持结构、行为和数据流三种形式的混合描述。2.VHDL的设计单元的基本组成部分是实体(entity)和结构体(architecture),实体包含设计系统单元的输入和输出端口信息,结构体描述设计单元的组成和行为,便于各模块之间数据传送。利用单元(componet)、块(block)、过程(procure)和函数(fu

6、nction)等语句,用结构化层次化的描述方法,使复杂电路的设计更加简便。采用包的概念,便于标准设计文档资料的保存和广泛使用。3.VHDL语言有常数、信号和变量三种数据对象,每一个数据对象都要指定数据类型,VHDL的数据类型丰富,有数值数据类型和逻辑数据类型,有位型和位向量型。既支持预定义的数据类型,又支持自定义的数据类型,其定义的数据类型具有明确的物理意义,VHDL是强类型语言。4.数字系统有组合电路和时序电路,时序电路又分为同步和异步,电路的动作行为有并行和串行动作,VHDL语言常用语句分为并行语句和顺序语句,完全能够描述复杂的电路结构和行为状态。第二章

7、、VHDL程序的组成一个完整的VHDL程序是以下五部分组成的:库(LIBRARY):储存预先已经写好的程序和数据的集合精彩文档实用标准文案程序包(PACKAGE):声明在设计中将用到的常数、数据类型、元件及子程序实体(ENTITY):声明到其他实体或其他设计的接口,即定义本定义的输入输出端口构造体(ARCHITECTUR):定义实体的实现,电路的具体描述配置(CONFIGURATION):一个实体可以有多个构造体,可以通过配置来为实体选择其中一个构造体2.1库库用于存放预先编译好的程序包(PACKAGE)和数据集合体,可以用USE语句调用库中不同的程序包,以

8、便不同的VHDL设计使用。库调用的格式:LIRARY

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。