FPGA快速入门经验谈(part2).doc

FPGA快速入门经验谈(part2).doc

ID:27481373

大小:30.50 KB

页数:9页

时间:2018-12-04

FPGA快速入门经验谈(part2).doc_第1页
FPGA快速入门经验谈(part2).doc_第2页
FPGA快速入门经验谈(part2).doc_第3页
FPGA快速入门经验谈(part2).doc_第4页
FPGA快速入门经验谈(part2).doc_第5页
资源描述:

《FPGA快速入门经验谈(part2).doc》由会员上传分享,免费在线阅读,更多相关内容在应用文档-天天文库

1、FPGA快速入门经验谈(part2)  FPGA入门学习网络讲座:“柏氏”7步FPGA快速入门学习法  第三部分:最偷懒的编程  可编程芯片的开发,很重要的环节就是对芯片的编程。编程,似乎是一个很复杂的问题,但我们打“穿插”时,只能找最偷懒的捷径,很多可编程芯片的厂家都提供的编程开发平台。对于FPGA/CPLD芯片,XILINX有ISE11平台,Altera也有类似的平台,单片机芯片,如MSP430和AVR等,用IAR平台的也不少这些平台现在都已经很成熟,很完善,使用很方便。它们操作的很多步骤是类似的:  1.创建项目并选择芯片,平台会根据你选

2、择的芯片设置相应的参数  2.选择相应的开发语言,单片机可以选C语言,FPGA/CPLD可以选VerlogHDL语言。  3.FPGA快速入门经验谈(part2)  FPGA入门学习网络讲座:“柏氏”7步FPGA快速入门学习法  第三部分:最偷懒的编程  可编程芯片的开发,很重要的环节就是对芯片的编程。编程,似乎是一个很复杂的问题,但我们打“穿插”时,只能找最偷懒的捷径,很多可编程芯片的厂家都提供的编程开发平台。对于FPGA/CPLD芯片,XILINX有ISE11平台,Altera也有类似的平台,单片机芯片,如MSP430和AVR等,用IAR平

3、台的也不少这些平台现在都已经很成熟,很完善,使用很方便。它们操作的很多步骤是类似的:  1.创建项目并选择芯片,平台会根据你选择的芯片设置相应的参数  2.选择相应的开发语言,单片机可以选C语言,FPGA/CPLD可以选VerlogHDL语言。  3.编程,编程的内容是越简单越好,没有编程基础的FPGA/CPLD学员可以先选用XILINX的ISE平台,这个平台会给编程人员创造最简单的环境,而且对于简化的程序系统会在后续的操作中自动做一些内部调整。当然,FPGA的开发平台用来输入设计内容的方法很多,语言编程只是方法之一。  编程的内容要简单,如果

4、是FPGA/CPLD的编程,可以只做两个赋值语句,如C=A;D=B;等等,如果是单片机的编程,直接用IN,OUT指令写两条输入输出语句就可以了  4.编译,因为命令只有两行,编译一般很容易通过。  以上四个步骤对单片机和FPGA/CPLD都是类似的,以下5,6两步是FPGA/CPLD特有的  5.指定管脚,设定编程时的变量A,B,C,D分别对应哪个管脚  6.综合,把编译玩的代码在指定的管脚和特定的芯片形成最终目标代码  7.下载,把最终代码通过下载线下载到芯片中  以上步骤中,编译和综合的操作,系统能够自动完成,能不能下载成功,主要看自制的开

5、发板电源及JTAG的接线是否正确,也要看一看下载线在计算机一端的连接和设置。这个学习环节似然叫编程,并不是单单是只学习编程,还涉及到其它要学习了解的内容,包括:开发平台的应用,开发环境的设计,设计手段的了解(编程只是其一),针对芯片的操作,编译综合问题,下载和校验等等。  这诸多的环节,在入门阶段不一定展开讲述,但要潜移默化地把关键知识点告知学员。编程的内容,越简单越好,更利于理解和验证。另外要强调的一点是,要让学员对逻辑电路和时序电路的特点和区别有一个清楚的了解这对以后的开发学习很重要。  FPGA入门学习网络讲座:“柏氏”7步FPGA快速入

6、门学习法--第四部分:检验入门成果  下载完成后的,就可以检验“速成”的开发板是否按照设计正常工作了,检验很简单,只要把相应的输入端与电源或地相接,然后再查一下输出端的电位就可以了。如果有人对直接把电源或地线连接到输入管脚有顾虑,可以串接一个1K左右的电阻,这样即使是学员把电源或地错接到其它管脚上,也不会造成芯片管脚的损坏。因为编程的内容很简单,所以验证起来也会很容易,这个只有最简单功能的自制开发板,可以作为学员“穿插式”快速入门的“战利品”,也是最好的入门证书。  在入门学习阶段,学员可以得到两个方面的收获:  1.明白流程,以后再深入学习各

7、个环节会有所侧重  2.建立自信,不会再存在担心学不会,也不再为学习安排的先后轻重问题担心为了加强效果,学员可以多次地变化第三步的编程内容并通过第四步加以验证学员还可以在这个开发板的基础上逐步增加各种外部信号或显示部件,如按键,发光二极管,液晶数码屏等等,当然,也可以对逻辑电路和时序电路的概念。展开循序渐进的学习。  以上四个步骤既适用于FPGA开发的学习,也适用于单片机的学习,能够为学员的下一步自学或培训学习打下基础。包括知识上的基础和心理上的自信,下篇部分可以让学员们了解到,FPGA有哪些潜力和发展空间。  (下篇:展望篇)  FPGA入门

8、学习网络讲座:“柏氏”7步FPGA快速入门学习法--第五部分:FPGA及实现技巧  借助FPGA技术实现的片上系统有以下几个特点:  1.结构更紧凑,

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。