EDA课程设计之2位十进制四则运算器电路四则运算器

EDA课程设计之2位十进制四则运算器电路四则运算器

ID:27219082

大小:1.03 MB

页数:27页

时间:2018-12-01

EDA课程设计之2位十进制四则运算器电路四则运算器_第1页
EDA课程设计之2位十进制四则运算器电路四则运算器_第2页
EDA课程设计之2位十进制四则运算器电路四则运算器_第3页
EDA课程设计之2位十进制四则运算器电路四则运算器_第4页
EDA课程设计之2位十进制四则运算器电路四则运算器_第5页
资源描述:

《EDA课程设计之2位十进制四则运算器电路四则运算器》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、.《电子设计自动化》课程设计题目:2位十进制四则运算器电路院(系)信息科学与工程学院专业通信工程届别2011级班级学号姓名任课老师彭盛亮......摘要本设计是利用EDA技术实现的2位十进制四则运算器,是基于QuartusII7.2软件,利用其强大、直观、便捷和操作灵活的原理图输入设计的功能来完成本次设计的。此设计利用QuartusII7.2中的EP2C5T144C8芯片来控制整个程序的运行,用七段数码管显示各个输入和输出,用LED灯的亮灭来显示运算模式,而软件部分则是由VHDL语言来编写的,是通过精心的设计和合理的规划而完成的设计。设计完成后的

2、运算器不仅能实现数据的加减乘除运算,而且还能使数据及其计算结果在数码管上显示出来,能够实现0-99的十进制数字四则运算。......目录第一章系统设计11.1设计要求11.2系统设计方案11.2.2总体方案的论证21.2.4各功能块的划分和组成3第二章单元电路设计42.1输入模块52.2加法模块52.3减法模块62.4乘法模块72.5除法模块72.6模式选择模块82.7输出模块8第三章软件设计93.1软件设计平台、开发工具和实现方法93.2程序的流程方框图93.3实现的功能及程序清单103.3.1百进制计数器103.3.2四选一数据选择器11..

3、....3.3.3加法模块:123.3.4减法模块123.3.5乘法模块133.3.6除法模块133.3.7输出模块14第四章系统测试154.1功能的测试方法、步骤154.2仪器设备16第五章结论16参考文献17附录A电路图图纸17附录B软件程序21第一章......第一章系统设计1.1设计要求输入两个2位十进制数(0~99),输出它们的四则运算(加减乘除)结果;发光二极管显示运算模式;可调用LPM_MULT及LPM_DIVIDE模块。1.2系统设计方案1.2.1系统设计思路通过分析可知,要完成本次课程设计可以分为三个模块来实现相应的功能,分别是

4、输入模块、计算模块以及输出模块。输出模块计算模块输入模块其中各个模块的任务要求为:*输入模块:输入两个2位十进制数以及运算模式的选择*计算模块:根据输入模块的选择完成相应的运算过程*输出模块:输出各个输入及计算结果......1.2.2总体方案的论证·方案一:根据功能和指标要求,计算器电路包括三个部分:显示电路、输入电路和芯片控制电路。用七段数码管作为显示电路,各作为输入电路,利用程序输入法将计算器所需的程序写入芯片。模块图如下:显示电路芯片控制输入电路·方案二:根据计算器的功能要求,计算器电路可包括四个部分:选用LED数码管作为显示部分,各按键

5、作为输入部分,运算模块,芯片控制部分。模块图如下:芯片控制运算模块显示模块输入部分......1.2.3方案的对比选择从电路清晰程度来说方案二要优于方案一,因为方案二显示结果清楚明了,比用方案一的准确度更高,而且电路分模块来做,更加清晰,连线相对也比较简单。所以为了得到更好的结果,我所以选择方案二。1.2.4各功能块的划分和组成选择模块计算模块LED输出芯片控制除法乘法减法加法输入2输入11.2.5系统的工作原理(1)由于要设计的是四则计算器,可以进行四则运算,则采用七段数码管显示数据和结果。(2)另外键盘包括两个十进制数输入键、一个模式选择键,

6、故只需要3个按键即可。(3)执行过程:使能端打开后显为示零,等待键入数值,......当键入两个数字,计算器在内部执行数值转换和存储,并等待键入模式,当再键入模式后将在数码管上显示运算结果。第二章单元电路设计2.1输入模块工作原理:利用两个100进制的计数器作为数字的输入,通过外接的按键来控制计数器,从而人为的确定输入的数值。参数计算:①一百进制数输出最高是99,故需7个字节才可能将其包含在内,因此cq的宽度[6..0]。②LED每一位的最高输出为9,故需4个字节才可能将其包含在内,故LED1和LED2的宽度为[3..0]。......2.2加法

7、模块工作原理:利用VHDL语言来实现两个2位十进制数的加法,做出一个小加法模块。又由于其输出结果在0—198之间,故要将此小减法模块将和2个lpmdivide1和2个lpmconstant0相连,从而使得当两个十进制数输入后可以在3个LED数码管上显示最终结果。参数计算:①输入最高值是99,需7个字节才可能将其包含在内,因此add_in1和add_in2的宽度[6..0]。②输出结果最高值为3位数,且要将其显示在LED灯上,则设置3个输出,可利用LPM_CONSTANT取10,将输出的结果2次模10得到百位数、十位数和个位数。③LED每一位的最高

8、输出为9,故add_out1、add_out2和add_out3的宽度为[3..0]。2.3减法模块工作原理:利用VHDL语言来实现两个

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。