测控技术与仪器 毕业论文范文——1553B编码器的设计与实现

测控技术与仪器 毕业论文范文——1553B编码器的设计与实现

ID:27203462

大小:1.09 MB

页数:43页

时间:2018-12-01

测控技术与仪器 毕业论文范文——1553B编码器的设计与实现_第1页
测控技术与仪器 毕业论文范文——1553B编码器的设计与实现_第2页
测控技术与仪器 毕业论文范文——1553B编码器的设计与实现_第3页
测控技术与仪器 毕业论文范文——1553B编码器的设计与实现_第4页
测控技术与仪器 毕业论文范文——1553B编码器的设计与实现_第5页
资源描述:

《测控技术与仪器 毕业论文范文——1553B编码器的设计与实现》由会员上传分享,免费在线阅读,更多相关内容在学术论文-天天文库

1、1553B编码器的设计与实现摘要本文介绍用FPGA设计实现MIL-STD1553B部接口中的曼彻斯特码编解码器。该设计采用VHDL硬件描述语言编程,在QuartusII进行时序仿真,最后在FPGA上实现。本文在研究MIL_STD_1553B总线协议的基础上,提出了MIL_STD_1553B总线曼彻斯特编码的总体设计方案。曼彻斯特码是一种广泛应用于航空电子综合系统中的总线数据传输的双极性码[1]。曼彻斯特码编码是1553B总线接口中不可缺少的重要组成部分,曼彻斯特码编解码器设计的好坏直接影响总线接口的性

2、能。本文在研究MIL-STD1553B总线协议的基础上,提出了MIL-STD1553B总线接口中的曼彻斯特码编解码器的总体设计方案。根据项目要求,本文完成了以下工作:根据项目要求,曼彻斯特编码过程分为,以下工作:1.同步字头生成,并分为数据字或命令字;2.对曼码形式的数据进行编码;3.将并行数据转化为串行数据;4.进行奇偶效应。关键词:MIL-STD-1553B数据总线,FPGA,VHDL,QuartusIIABSTRACTUseFPGAtodesignthatManchestercodeinreal

3、izingtheMIL-STD1553Bheadquarterinterfaceweavesadecoderthatthemainbodyofabookisintroduced.ShoulddesignthatadopttheVHDLhardwaredescribethatlanguageprogramming,ThesequencebeinginprogressinquartusIIsimulates,TheatlastcomestrueonFPGA.Thebasisintheagreementst

4、udyingtheMIL_STD_1553Bhighwayislistedinthemainbodyofabook,HavebroughtforwardtheMIL_STD_1553BhighwayManchestercodepopulationdesignplan.Manchesterisonekindofhighwaydatatransmissionbipolaritycodeinapplyingtoavionicsyntheticalsystembroadlyyard.Itchangesinth

5、ereexistingoneineverycodeplacemidpointspringing.1signalisthatonespringsfrom1to0'sdefeatfollow,But,0signalsarethatrectifyingspringsfrom0to1follow.Demandaccordingtothepoject,DecipherprocessofManchesterworksforthefollowingmark:1Thesynchronismcharacterheadc

6、omesintobeing,Andbedatacharacterorordercharactermark;2CodeformdatacarriesoutcodeonMan;3Changeparalleldataintoserialdata;4Carryouttheodd-eveneffect.Keywords:MIL-STD-1553Bdatabus,FPGA,VHDL,quartusII目录1引言11.1MIL_STD_1553B总线国内外的研究现状11.21553B总线的产生及发展31.31553

7、B总线研究的目的和意义42MIL-STD-1553B总线简介52.1MIL-STD-1553B总线概述52.2典型MIL-STD-1553B系统组成52.3MIL-STD-1553B总线特点62.4本章小结731553B总线传输协议简介83.1编码方式83.2字的类型83.3总线消息传输格式103.41553B总线同其它航电总线的对比114FPGA实现曼彻斯特编码部分的设计114.1FPGA的概述124.2QuartusII仿真设计软件及VHDL语言134.3曼彻斯特码简介及其格式155MIL-STD

8、-1553B编码的设计与实现175.1同步头产生模块185.2保持寄存器模块205.3移位、并串转换,奇偶位生成模块225.4曼彻斯特II型码形成模块255.56倍分频器模块285.62倍分频器模块295.7曼彻斯特编码器306试验与仿真326.1具体试验步骤32第39页共39页6.2FPCA设计中,问题的处理357小结36参考文献37致谢39第39页共39页1引言1.1MIL_STD_1553B总线国内外的研究现状20世纪50、60年代,航空电子系统由

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。