数字显示电路设计

数字显示电路设计

ID:26041564

大小:297.06 KB

页数:21页

时间:2018-11-24

数字显示电路设计_第1页
数字显示电路设计_第2页
数字显示电路设计_第3页
数字显示电路设计_第4页
数字显示电路设计_第5页
资源描述:

《数字显示电路设计》由会员上传分享,免费在线阅读,更多相关内容在学术论文-天天文库

1、物理与电子工程学院《数字电路》课程设计报告书设计题目:数字显示电路设计专业:自动化班级:10级1班学生姓名:李想学号:2110341106指导教师:胡林年月日物理与电子工程学院课程设计任务书专业:自动化班级:10级2班学生姓名李想学号2110341106课程名称数字电路设计题目数字显示电路设计设计目的、主要内容(参数、方法)及要求1、课程设计是专业课学习过程中一个非常重要的环节。本次课程设计的目的是熟悉和掌握数字显示电路的应用方法,为今后的工作和学习打下坚实的基础。2、理解数字显示电路的原理。3、掌握数字显示电路的应用范围、核心仪器及应用电路。4、检索阅读与课程设计课题相关的国内

2、科技文献,书写并按时提交规范的课程设计。5、在调查、实验、论文撰写等环节中,应尊重事实、尊重实验结果,严肃认真的的完成每一个环节的相关工作。6、课程设计应论述层次清晰,概念准确,语句通顺。7、独立完成课程设计的撰写工作,不得抄袭和剽窃他人成果。8、符合课程设计写作规范,整篇文章不少于3000字。工作量2周时间,每天3学时,共计42学时进度安排第1天:下达任务书第2-5天:搜集资料,完成课程设计的文献查阅、试验或调研工作。第6-8天:完成课程设计的初稿,并提交指导教师。第9-14天:完成课程设计的修改,最终定稿。主要参考资料[1]路勇.电子电路实验及仿真[M].北京:北京交通大学出

3、版社,2010.[2]孟涛.电工电子EDA实践教程[M].北京:机械工业出版社,2010.[3]高吉祥.电子技术基础实验与课程设计[M].北京:电子工业出版社,2005[4]候建军.电子技术基础实验、综合设计实验与课程设计[M].北京:高等教育出版社,2007.指导教师签字教研室主任签字摘要采用动态扫描的方式实现设计要求。动态扫描显示需要由两组信号来控制:一组是字段输出口输出的字形代码,用来控制显示的字形,称为段码;另一组是位输出口输出的控制信号,用来选择第几位数码管工作,称为位码。各位数码管的段线并联,段码的输出对各位数码管来说都是相同的。因此在同一时刻如果各位数码管的位选线都

4、处于选通状态的话,6位数码管将显示相同的字符。若要各位数码管能够显示出与本位相应的字符,就必须采用扫描显示方式,即在某一时刻,只让某一位的位选线处于导通状态,而其它各位的位选线处于关闭状态。同时,段线上输出相应位要显示字符的字型码。这样在同一时刻,只有选通的那一位显示出字符,而其它各位则是熄灭的,如此循环下去,就可以使各位数码管显示出将要显示的字符。MAX+PLUSII是一个完全集成化的可编程逻辑环境,能满足用户各种各样的设计需要。它支持Altera公司不同结构的器件,可在多平台上运行。MAX+PLUSII具有突出的灵活性和高效性,为设计者提供了多种可自由选择的设计方法和工具。丰

5、富的图形界面,可随时访问的在线帮助文档,使用户能够快速轻松地掌握和使用MAX+PLUSII软件。MAX+PLUSII具有的强大功能极大地减轻了设计者的负担,使设计者可以快速完成所需的设计,使用该软件,用户从开始设计逻辑电路到完成器件下载编程一般只需要数小时时间,其中设计的编译时间往往仅需数分钟。用于可在一个工作日内完成实现设计项目的多次修改,直至最终设计定型。MAX+PLUSII开发系统众多突出的特点,使它深受广大用户的青睐。关键词:数字显示电路;动态扫描;段码目录第一章设计任务11.1项目名称:设计数字显示电路11.2项目设计说明11.2.1设计任务和要求11.2.2进度安排1

6、1.3项目总体功能模块图2第二章需求分析22.1问题基本描述22.2系统模块分解32.3系统各模块功能的基本要求3第三章设计原理33.1设计原理33.2MAXPLUSII介绍4第四章系统功能模块设计54.1.1数码管位选控制模块流程图54.1.2输入输出引脚及其功能说明54.1.3程序代码实现64.2数据选择模块74.2.1.数据选择模(八选一模块)块流程图74.2.2输入输出引脚及其功能说明74.2.3程序代码实现74.3七段译码器模块84.3.1七段译码器模块模块流程图84.3.2输入输出引脚及其功能说明84.3.3程序代码实现8第五章调试并分析结果95.1输入说明95.2预

7、计输出95.3测试结果记录95.4测试结果分析10第六章结论106.1心得体会10参考文献11附录12第一章设计任务1.1项目名称:设计数字显示电路本项目的主要内容是设计并实现8位数码管轮流显示8个数字。该电路将所学的数字电路与系统大部分知识和VHDL语言结合。1.2项目设计说明1.2.1设计任务和要求A、用CPLD设计一个八位数码管显示电路;B、8位数码管轮流显示8个数字,选择合适的时钟脉冲频率实现8个数码管同时被点亮的视觉效果。1.2.2进度安排第一周至第二周每周二2课时,共

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。