掷骰子游戏电路的设计与实现实验报告

掷骰子游戏电路的设计与实现实验报告

ID:25136320

大小:475.63 KB

页数:33页

时间:2018-11-18

掷骰子游戏电路的设计与实现实验报告_第1页
掷骰子游戏电路的设计与实现实验报告_第2页
掷骰子游戏电路的设计与实现实验报告_第3页
掷骰子游戏电路的设计与实现实验报告_第4页
掷骰子游戏电路的设计与实现实验报告_第5页
资源描述:

《掷骰子游戏电路的设计与实现实验报告》由会员上传分享,免费在线阅读,更多相关内容在应用文档-天天文库

1、数字电路与逻辑设计实验题目:掷骰子游戏电路的设计与实现学号:2012210554姓名:孙进考班级:2012211119学院:信息与通信工程学院日期:2014.11.10一、设计课题的任务要求设计并实现一个掷骰子游戏电路。基本要求:1、电路可供甲乙二人游戏,游戏者甲使用的按键为BTN0,游戏者乙使用的按键为BTN1。2、每按一次按键,代表掷一次骰子,可随机得到1~6范围内的两个数字。3、甲乙按键产生的随机数字分别用数码管DISP0-DISP1、DISP2-DISP3显示,并用DISP7显示比赛局数,比赛结束用8×8点阵显

2、示获胜方,并伴有声音效果。4、具体游戏规则如下:(1)第一局比赛,甲乙依次各按一次按键,按键所得两数之和为7或11者胜;若无人取胜,则进行第二局比赛;(2)第二局比赛,甲乙每人各按一次按键,按键所得二数之和与第一局比赛相同者获胜,若无人获胜,则进行第三局比赛,重复进行步骤(2),直到出现胜者为止。(3)游戏局数最多进行六局。在第六局比赛时,若重复进行步骤(2)仍未出现胜者,以按键所得两数之和最大者为获胜方。提高要求:1、增加多人游戏的功能,数码管可分时记录显示每个游戏者的骰子点数。2、点阵显示增加游戏开机动画、结束动画

3、,并伴有乐曲播放。3、自拟其它功能。二、系统设计(包括设计思路、总体框图、分块设计)1、整体设计思路将整个游戏电路分为时钟分频模块、随机数产生模块、数码管显示模块、判断控制模块、点阵显示模块等五个模块。其中,时钟分频模块用于产生适合其他模块使用的时钟频率;随机数产生模块接收来自按键的信号,产生1-6的随机数,其中按键带有防抖功能;数码管显示产生的随机数和局数;判断控制模块用于判断胜负,并控制点阵显示;点阵显示模块用于显示胜利的一方。2、系统框图时钟分频模块数码管显示模块点阵显示模块判断控制模块随机数产生模块Btn0按键

4、防抖Btn1按键防抖reset3、分块设计时钟分频模块采用多级分频的方法分别产生点阵扫描需要的1MHz的clk_dian频率、数码管扫描的10KHz的clk_shu频率、产生第一位随机数的2KHz的clk_x频率、产生第二位随机数的3.3kHz的clk_y频率和用于防抖的20hz的clk_dou频率。随机数产生模块随机数产生模块用于产生随机数和局数计数。reset用于使局数归零,重新开始游戏。btn0用于作为产生甲方随机数的信号,btn1用于作为产生乙方随机数的信号。clk_x和clk_y是用来产生两位随机数的时钟信号

5、。clk_dou为防抖时钟。qout1-2是甲随机数输出信号,qout3-4是乙随机数输出信号,qout5是局数信号。数码管显示模块数码管显示模块用于显示产生的随机数和局数。clk_shu接收10KHz的时钟频率。qin1-5分别接收随机数产生模块的qout1-5信号。cat[5..0]和g[6..0]控制数码管的位选和段选信号。控制判断模块控制判断模块用于判断胜负并控制点阵显示。clk_dou为防抖时钟频率,btn1为乙方按完按键的信号,jia1-2和yi1-2为甲乙方的随机数,ju为比赛局数。V是胜负结果,用于控制

6、点阵显示。点阵显示模块点阵显示模块用于显示胜利的一方。clkin接1MHz的时钟频率,v是胜负结果。beep是蜂鸣器输出信号,当有一方胜利的时候就会输出高电平信号;row和col分别是点阵的行输入和列输入。三、仿真波形及波形分析分频模块由于分频比例太高,仿真到1s需要花费大量时间,故只仿真到20ms,可观察其中的clk_x和clk_y信号。随机数模块如图所示,当btn0被按下,经过防抖测试之后,产生随机数1和4,btn1被按下,经过防抖测试之后,产生随机数3和6,reset拨上之后局数归零,重新开始(圆角方形所示)。数

7、码管显示模块如图所示,圆圈内为位选输出信号,其中cat4数码管没有使用。方框内为段选输出信号,以输出1和5为例,显示正确,其中的毛刺可以忽略,不影响显示效果。判断控制模块如图,仅以第一局为例,设置甲方为5+2=7,乙方为1+1=2,如方框内所示;btn1下降沿触发比较,v的结果为01,即甲方获胜,如圆圈内所示,仿真结果正确。点阵显示模块如图,以显示甲为例,输入为v=01,行输出和列输出显示结果为汉字甲,蜂鸣器输出beep=1,符合预设结果。三、源程序分频器模块fenpinqi.vhdlibraryieee;useiee

8、e.std_logic_1164.all;entityfenpinqiisport(clkin:instd_logic;--时钟信号输入clk_dian,clk_shu,clk_x,clk_y,clk_dou:outstd_logic);--时钟信号输出endfenpinqi;architectureaoffenpinqiissi

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。