基于FPGA的数字电压表的设计与实现毕业论文

基于FPGA的数字电压表的设计与实现毕业论文

ID:24161476

大小:3.65 MB

页数:61页

时间:2018-11-13

基于FPGA的数字电压表的设计与实现毕业论文_第1页
基于FPGA的数字电压表的设计与实现毕业论文_第2页
基于FPGA的数字电压表的设计与实现毕业论文_第3页
基于FPGA的数字电压表的设计与实现毕业论文_第4页
基于FPGA的数字电压表的设计与实现毕业论文_第5页
资源描述:

《基于FPGA的数字电压表的设计与实现毕业论文》由会员上传分享,免费在线阅读,更多相关内容在学术论文-天天文库

1、基于FPGA的数字电压表的设计与实现DESIGNANDIMPLEMENTATIONOFDIGITALVOLTAGEMETERBASEDONFPGA专业:电子信息工程姓名:指导教师:申请学位级别:学士论文提交日期:学位授予单位:摘要数字电压表简称DVM,是一种通过采用数字化测量技术,把连续的模拟量(直流输入电压)转换成离散的、不连续的数字形式,并将转化结果加以显示的仪表。本设计研究的电压表主要的设计核心是Xilinx公司的Vritex-4FPGA器件,主要分为下面几部分:数据处理模块实现TVL571数字量对应BCD码的变换和处理;显示控制模块实现LED段码的产生。显

2、示部分要求在三个七段数码管上显示电压值,要求保留小数点后两位数字。软件要求用ISE10.1环境,用VHDL语言编写数字电压表各模块的功能并进行调试与下载到FPGA板上进行验证。关键词:VHDL;数字电压表;FPGA;A/D;Xilinx;ISE10.1ABSTRACTDigitalvoltmeterisavoltagemeasuringinstrumentsthatisreferredtoasDVManditismostcommoninintelligentinstruments.DVMusedigitalmeasurementtechnologyandmaket

3、hecontinuousanalog(DCinputvoltage)intodiscontinuous,discretedigitalformanddisplayonLEDorLCD.ThedesignofthisvoltmetermaincoreistheXilinx'sVritex-4FPGAdevices.Thevoltmetermainlydividedintothefollowingsections:dataprocessingmoduleismainlydependontheTLV571andbasedonthisADrealizingthetrans

4、formingBCDbetweendigitalmeasurement;dispaymodulerealiazedtheproducingofthepartcode.Thevoltmeterrequirestheabilitytodisplaythemeasuredvoltagevalueinthreeseven-segmentdigitaltube,retainingtwosignificantfiguresafterthedecimalpoint.ThesoftwareofthedesignisXilinxcompany’sEDAdevelopmentenvi

5、ronmentISE10.1andusingtheVHDLlanguagetowritethefunctionofthevoltmeter’seachmoduleanddebug.DownloadingtheprogramtothetheFPGAboardtoverifytheresult.Keywords:VHDL;volmeter;FPGA;A/D;Xilinx;ISE10.1目录(目录页空着,我统一调整)1绪论11.1数字电压表的研究背景11.2数字电压表的的研究意义11.3国内外研究概况及应用前景22系统的软件开发环境42.1Xilinx公司的ISE工具软

6、件42.1.1软件界面42.1.2设计流程52.1.3波形仿真流程62.2硬件描述语言VHDL72.2.1VHDL语言简介72.2.2VHDL语言的特点优势82.2.3设计中用到的语言结构83系统的硬件组成133.1EDA技术概述133.2现场可编程门阵列FPGA153.3SEED-XDTK-V4实验平台193.3.1Vritex-4系列器件结构和特性193.3.2CPLD功能模块203.3.3数码管203.3.4ADTLV571模块214数字电压表的设计244.1系统的整体设计方案244.2模数转换控制模块244.3数字电压转BCD模块254.4数码管显示模块2

7、74.5管脚约束文件285数字电压表的实现306结论33参考文献34致谢35附录362222天津科技大学2013届本科生毕业论文1绪论1.1数字电压表的研究背景在20世纪50年代数字电压表开始出现在生活和研究的各个方面,它在60年代得到了发展和完善。数字电压表简称DVM,其在智能仪器的使用中是极其常见的,它将测量技术、指标数据化,把连续的输入转化为离散的数据量并加以显示。此种电子仪表能够得以发展主要由以下两方面原因,一是因为电子计算机的普及,电子化技术已经从研究领域逐渐过渡到应用领域,在生产生活的各项研究中实现数据化、理论化,即为了实现数据控制的实时性和数据处理的

8、可靠性;另

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。