vhdl8x8点阵的显示

vhdl8x8点阵的显示

ID:23862638

大小:107.00 KB

页数:5页

时间:2018-11-11

vhdl8x8点阵的显示_第1页
vhdl8x8点阵的显示_第2页
vhdl8x8点阵的显示_第3页
vhdl8x8点阵的显示_第4页
vhdl8x8点阵的显示_第5页
资源描述:

《vhdl8x8点阵的显示》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、8*8点阵的显示一、实验目的通过用VHDL语言设计8*8点阵的显示,掌握时序电路的设计,熟悉汉字字符显示的原理。二、实验原理利用多个数字LED显示器可以显示多位数字。三、实验内容用VHDL语言设计8*8点阵的显示,并进行编译、波形仿真及器件编程。仿真图如下,代码见附录图表18*8点阵的显示功能仿真图附录代码一、libraryieee;useieee.std_logic_1164.all;useieee.std_logic_arith.all;entitydianzhen8isport(clk:instd_lo

2、gic;reset:instd_logic;hang:outstd_logic_vector(7downto0);lie:outstd_logic_vector(7downto0));enddianzhen8;architecturezhangofdianzhen8issignalclk8:std_logic;beginprocess(clk,reset)variablecnt:integerrange0to3;variablelie8:std_logic_vector(7downto0);beginifre

3、set='1'thenlie8:="10000000";elsifclk'eventandclk='1'thenifcnt=3thenclk8<=notclk8;cnt:=0;elsecnt:=cnt+1;endif;lie8:=lie8(0)&lie8(7downto1);endif;lie<=lie8;endprocess;process(clk8,reset)variablehang8:std_logic_vector(7downto0);beginifreset='1'thenhang8:="1111

4、1110";elsifclk8'eventandclk8='1'thenhang8:=hang8(0)&hang8(7downto1);endif;hang<=hang8;endprocess;endzhang;代码二、--汉字滚动--**************库定义、包定义********************libraryIEEE;useIEEE.STD_LOGIC_1164.ALL;useIEEE.STD_LOGIC_ARITH.ALL;useIEEE.STD_LOGIC_UNSIGNED.ALL;

5、--******************实体定义***********************ENTITYled88ISPORT(clk:INSTD_LOGIC;--时钟输入l:outSTD_LOGIC_VECTOR(7downto0);--输出点阵行控制l1:outSTD_LOGIC_VECTOR(7downto0);--数码管段扫描输出led:outstd_logic_vector(7downto0);--数码管数据输出bell:outstd_logic;--蜂鸣器输出b:outSTD_LOGIC_VEC

6、TOR(7downto0)--点阵列控制);ENDled88;--******************构造体定义*********************ARCHITECTUREarchOFled88ISsignalclk_1k:std_logic;--1k时钟signalclk_1h:std_logic;--1h时钟signalp,c:integerrange0to7;BEGINbell<='0';led<="00000000";l1<="11111111";--**************1k分频进程**

7、*************************process(clk)variablecnt1:integerrange0to124;variablecnt2:integerrange0to199;beginifclk'eventandclk='1'thenifcnt1=124thencnt1:=0;ifcnt2=199thencnt2:=0;clk_1k<=notclk_1k;elsecnt2:=cnt2+1;endif;elsecnt1:=cnt1+1;endif;endif;endprocess;-

8、-*****************汉字滚动进程***************************process(p,clk_1k)FUNCTIONword(bcd8421:INTEGERRANGE0TO7)RETURNSTD_LOGIC_VECTORISVARIABLEsmg7:STD_LOGIC_VECTOR(7DOWNTO0);BEGIN--汉字编码CASEbcd8421ISWHEN0=>

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。