采用eeprom对大容量fpga芯片数据实现串行加载

采用eeprom对大容量fpga芯片数据实现串行加载

ID:22740878

大小:52.00 KB

页数:6页

时间:2018-10-31

采用eeprom对大容量fpga芯片数据实现串行加载_第1页
采用eeprom对大容量fpga芯片数据实现串行加载_第2页
采用eeprom对大容量fpga芯片数据实现串行加载_第3页
采用eeprom对大容量fpga芯片数据实现串行加载_第4页
采用eeprom对大容量fpga芯片数据实现串行加载_第5页
资源描述:

《采用eeprom对大容量fpga芯片数据实现串行加载》由会员上传分享,免费在线阅读,更多相关内容在工程资料-天天文库

1、采用EEPROM对大容量FPGA芯片数据实现串行加载

2、第1摘要:通过对比多种FPGA数据加载方式,从可靠性、经济性及PCB设计等几个方面说明了串行加载的优越性,分析了目前串行加载所面临的问题。为解决串行加载新面临的问题,提出了采用EEPROM与9500系列CPLD相结合实现串行加载的构想,并通过实际设计,成功地实现了该构想。自大规模现场可编程逻辑器件问世以来,先后出现了两类器件,一类是基于SRAM体系结构的FPGA系列,如XILINX公司4000系列和最新的Virtex系列;另一类基于fastFLASH技术的CPLD器件,如XILINX公司9500系列和Lattic公司的ispLSxx系列芯片

3、。FPGA具有容量大、设计资源丰富、片内ROM及RAM设计灵活等特点[1],但是它们需要在每次上电时进行数据加载。目前实现加载的方法有以下三种:①采用PROM并行加载;②彩专用SROM串行加载;③  采用EEPROM对大容量FPGA芯片数据实现串行加载

4、第1摘要:通过对比多种FPGA数据加载方式,从可靠性、经济性及PCB设计等几个方面说明了串行加载的优越性,分析了目前串行加载所面临的问题。为解决串行加载新面临的问题,提出了采用EEPROM与9500系列CPLD相结合实现串行加载的构想,并通过实际设计,成功地实现了该构想。自大规模现场可编程逻辑器件问世以来,先后出现了两类器件,一类是基于SRAM

5、体系结构的FPGA系列,如XILINX公司4000系列和最新的Virtex系列;另一类基于fastFLASH技术的CPLD器件,如XILINX公司9500系列和Lattic公司的ispLSxx系列芯片。FPGA具有容量大、设计资源丰富、片内ROM及RAM设计灵活等特点[1],但是它们需要在每次上电时进行数据加载。目前实现加载的方法有以下三种:①采用PROM并行加载;②彩专用SROM串行加载;③采用单片机控制实现加载。第一种方式需要占用较多的FPGA管脚资源,虽然这些管脚在加载完成后可用作一般I/O口,但在加载时不允许这些载完成后可用作一般I/O口,500)this.style.ouseg(th

6、is)">但在加载时不允许这些管脚有其他任何外来信号源;另外数据存储器PROM与FPGA之间的大量固定连线如8位数据线以及大量访问PROM的地址线等,使得PCB设计不便。但是第一种方式有一个有利的方面,即PROM的容量较大、容易购置、价格低、技术支持(编程器)较好。第二种方式情况刚好与第一种方式相反,即占用资源少,PCB布板方便,但是容量小、价格较高、兼容性差。第三种方式采用单片机控制,由PROM中读取并行数据,然后再串行送出。由于涉及到单片机编程,对于开发者来说较为不便;另外,如果单片机仅用来实现该任务,较为浪费硬件资源。CPLD的一个最大优点是采用计算机专用开发工具,通过JTAG口直接一次

7、性实现编程数据加载,并永久保留,除非进行再次编程(与GAL器件相似)。该类器件比较适合在实验室内进行现场调试,但是由于其数据的加载必须通过计算机,因此对于从事野外作业者来说会产生不便。通过上述比较,并结合实际工作情况,我们认为采用串行数据加载比较方便、可靠(这种可靠性得益于FPGA与SROM之间较少的接口线)。但随着FPGA规模的不断升级,其CONFIG数据量越来越大,截止到本文写作时,CONFIG数据量最大已列6MBIT,虽然XILINX公司有相关的XC17X系列SROM提供使用,但皆为一次性芯片[2]、开发成本较高、代理商供货周期长、价格较高,这给FPGA的应用及普及带来很大的障碍。我们曾

8、使用过ATT公司的ATT17系列电可擦除SROM,但是该类SROM芯片能与XILINX系列FPGA芯片实现接口的种类不多,且容量小。由于种种原因,其价格往往是同样存储容量的EEPROM的五、六倍,甚至更高,并且困难。那么能不能结合并行加载与串行加载的优点,从而解决容量FPGA数据加载的问题呢?我们在仔细分析了串行加载机制后,认为采用EEPROM作为数据存储器,经过可控的并-串转换,应该可以实现数据加载。下面的XILINX公司Virtex系列XCV100芯片为例,采用ATMEL公司1兆位的AT29C010A进行数据存储,采用XILINX公司9500系列XC95108芯片作为加载控制器件进行设计。

9、1原理设计原理性MasterSerial模式串行加载时序如图1所示。在该加载模式中,比较重要的几个信号为/INIT、CCLK、DATAIN、DONE。/INIT表示FPGA芯片上电时或者当/PROGRAM信号为低时FPGA内部数据初始化过程,并作为外送信号给数据加载控制器件作为复位之用。当/INIT信号跃为高电平时,CCLK开始启动。加载数据DATAIN在CCLK的上升沿打入,500)this.s

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。