vga显示器驱动设计

vga显示器驱动设计

ID:22584260

大小:1.12 MB

页数:28页

时间:2018-10-30

vga显示器驱动设计_第1页
vga显示器驱动设计_第2页
vga显示器驱动设计_第3页
vga显示器驱动设计_第4页
vga显示器驱动设计_第5页
资源描述:

《vga显示器驱动设计》由会员上传分享,免费在线阅读,更多相关内容在工程资料-天天文库

1、VGA显示器驱动设计1概述随着帘场上的液品显示器出现,越来越多的数码产品开始釆用液品作为显示终端,但目前使用率最高的显示器还足基于VGA标准的显示器。如果你想使用这种显示方式,必须具有高扫描频率,很短的处理吋间,综合许多特性需求,所以这次毕业设计用FPGA实现VGA显示器[1]的驱动。如今,越来越多的嵌入式系统选择使用基于FPGA的设计方案,基TFPGA的大型嵌入式系统的设计中,为了更好地实现VGA显示,不但可以使用专用的核心SPX7111AVGA接口等。,而且可以使用基于FPGA的设计和软核的VGA接口,它的优点是可以使用专用芯片

2、的VGA吋序更稳定,显示模式更多可以提供选择。除次之外设计和使用VGA接口软核还有下列优点:★较少使用芯片,节省电路板的资源,布线难度大大降低。★高速数据传输时,减少Z高频噪声。★使用FPGA的VGA接口设计,可发送到显示器将直接显示数据,跳过计算机处理,加快数据处理速度,这样有利于节宵硬件成本。★采取的整体设计成本降低,使产品具有更多的价格优势。软件发展迅速,现代mA[2]更容易实现设计,仿真,每一个环节,在定量设计中设计周期缩短。2相关概念简介2.1EPGA现在,随着硬件描述语言(VeHlog或VHDL[3])完成电路设计[4]

3、,经过简单的综合和布局布线,快速烧录到FPGA屮测试,是现代1C设计验证技术的主流。可编辑的元件,可以用来得到一些基本的逻辑门(例如,AND和XOR,NOT),或者更加复杂的功能,例如解码器或数学公式的组合。在大多数FPGA中,这些可编辑部分包括存储器元件,例如触发器(Hip-flop)或其他更加完整的存储块。系统设计人员可以根据他们的需要,通过编辑逻辑连接在FPGA内部链接,像一个电路试验板放置在一个芯片上。它们离丌后成品砖和FPGA逻辑连接可以根据设计者的设计而改变,就可以完成所需的FPGA的逻辑功能。在整体上FPGA比ASTC

4、(专用集成芯片)的速度缓慢,不能完成复杂的设计,能量会被更多的消耗。但他们也有很多优点,如成品可以很快的完成,可以修正,以便纠正错误的程序和低廉的成本。EPGA的发展是以PAL(可编程阵列Log2ic),GAL为基础的,是一种有着丰富的可编程I/O引脚,RAM空间,门电路和逻辑宏单元的町编程逻辑器件,人约所有的应用程序门阵列,PLD与小型和中型通用数字集成电路的场合都可以使用FPGA和CPLD器件。CPLD是基于E2CM0S技术来设计,其基本逻辑单元是由一些与、或阵列和触发器组成,而FPGA的基本逻辑单元是在选择了SRAM的技术來进

5、行设计的基础上进行设计查表的。查找表(LUT),LUT事实上是一个RAM,并且使所述输入信号的各种组合的功能有一定的顺序写到RAM屮,然后指定函数运算的结果被输出到输入信号下。当前FPGA中使用更多的是4输入的LUT,因此每个LUT被认为是一个宥四个地址线的16X1的RAM。一旦用户使用示意图或11DL语言描述逻辑电路吋,FPGA开发软件可以自动算出逻辑电路可能出现的所奋结果,并把结果预先写入到RAM中,因此,每当输入一个信号进行逻辑操作就和当于输入一个地址查表,查找地址相对应得内容,输出就町以了。表2.1-1是一个4输入与门得例子

6、。表2.1-14输入与门对应的查找表实呩逻辑电路LUT得实现方式a,b,c,d,输入逻辑输出地址RAM中存储的内容00000000000001000010001111111111由于SRAMLUT是主要的生产过程,到目前为止,FPGA的绝大多数是基于静态的存储过程,在这一过程中静态存储器芯片关闭和开启电源石信息将丢失,须耍另外的特殊配置芯片,在电源开启的时候,通过特殊配置芯片将数据加载到FPGA,这样FPGA就可以正常工作,因为配置一段很短的时间,不会影响该系统的正常工作。2.2VHDLVHDL语言是种用于电路设计的高级语言。于上世

7、纪80年代出现。它是巾美国W防部开发的,最初它只是为美¥提高设计的可靠性和缩短开发周期的一种在小范围理使用的一种设计语言。VHDL语言被称为超高速集成电路硬件描述语言,它是一种集设计,仿真,综合一体的标准的硬件描述语言,是开发和设计可编程逻辑器件的重要工具,主要运用于数字电路设计。VHDL语言作为IEEE的工业标准,从而掌握VHDL语言是实现信息系统的硬件开发所需要的技能和知识,目前,在中国它主要是用于FPGA/CPLD/EPLD的设计。在一些技术更先进的单位,它也用于设计AS1C屮。VHDL主要用于描述数字系统结构,功能,行为和接

8、口的。不但它具有的语句大部分有硬件的特点,而且它的语言形式,风格和语法在普通的计算机高级语言中没有差异。VHDL程序结构的最大特点是一个项目的设计,或设计实体(一个元件,一个电路模块或一个系统)分成外部(可视部分及端口)和内部(即作为

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。