eda8次实验报告完整版

eda8次实验报告完整版

ID:22582799

大小:963.66 KB

页数:22页

时间:2018-10-30

eda8次实验报告完整版_第1页
eda8次实验报告完整版_第2页
eda8次实验报告完整版_第3页
eda8次实验报告完整版_第4页
eda8次实验报告完整版_第5页
资源描述:

《eda8次实验报告完整版》由会员上传分享,免费在线阅读,更多相关内容在工程资料-天天文库

1、HunanInlerruitional湖南涉外经济学院HunanInternationalEconomicsUniversity《EDA技术》课程实验报告姓名:邓绍峰学号:134110243班级:电信1302班同组者:胡浪指导教师:许慧燕信息科学与工程学院2015-2016学年第一学期《EDA技术》课程实验报告学生姓名:邓绍峰胡浪所在班级:电信1302班指导教师:许慧燕记分及评价:报告满分3分得分一、实验名称实验1-3:简单数字电子钟的设计(原理图输入设计方法)二、任务及要求【基本部分】1、在QuamisII平台上,采用原理图输入设计方法,

2、调用两片74160十进制计数器,采用反馈罝数法,完成一个24进制同步计数器的设计,并进行时序仿真。要求具备使能功能和异步清零功能,设计完成后封装成一个元件。2、同1,采用原理图输入设计方法,调用两片74160十进制计数器,采用反馈置数法,完成一个60进制同步计数器的设计,并进行时序仿真。要求具备使能功能和异步清零功能,设计完成后封装成一个元件。3、利用1和2所设计的60进制计数器和24进制计数器元件,采用同步的方式设计一个简单的数字电子钟并进行时序仿真,要求具有吋分秒功能显示功能、使能功能和异步清零功能。4、由于实验箱数码管采用的动态扫描方

3、式,本实验暂时只要求仿真,硬件验证到实验7再完成。【发挥部分】1、思考:采川反馈清零法设计的计数器与反馈置数法有何不同?请用实例进行仿真。2、如何实现电子钟时分秒连续可调的功能?三、原理图■O(=>[=>Or1CJMcn琪301Ctroock4(3.0]"3(3.利xnuu741eoLDNABCDENIENPCLFNOXQA00rfaitf,lt<2

4、OD^X>CXXNtfcH-{=>•n叩0

5、阁1-124进制同步计数器£S22eig*nIL0NABcCflDB4Toc00BPCL^neoax7/1160COLNTW«1C9*1327416

6、0cLCWABOAcceB

7、2

8、W31pJHLTC<3d*13CiCKC74160LDNAUcDeafcNPCLPhOft00occo«X)屮】»{21•

9、3JCfX-NTEP图1-260进制同步计数器O<⑴(<3Of€*?fCOc1叶:3Cl«i0(3ClcrrcoC*5(30(Wr«t21(zz>、、:i

10、m做.Ou<80.0mSGOOm&tO.OuT20.0m800.0is880.0ns960.0uiiiiiiiiiihit290290as1>0clrelkAAin.mnArwnn8田srwAAiatmnEssiwiwEcrmiwwwmD腳醒醒晒亚睐门Q:»•07ir2/oxi1阁1-424进制同步计数器土

11、PorterHasteTineBar29.0ns726.86r«IrtetvatG9785nsStatErdktVdw29.0叫0m390.0m<60.0M540,0n»K0.0MTOO.Om780.0m86C.0M9(0,0M1W

12、11,U卜1什:1^01>2t>8dkdrea(rcojvuumnjLnTLnjULrmrmnKrLnjmnjmnnjWLnjuLnTJULruuuwLruvmnjLT3CIKIE(mXD®E©:DS(D©E®3E(DEaE(D®CDE(DE(D©®E(DS(DE(DECD©(DIXDEaim)E(D©(NHnrrHrTrTrrrrnTrnNRnHnrrnnrnTrTnHrrnnHHnnnnHrTrnTrrrnTrrrrrrrrfffTrTnXZI图1-560进制同步计数器图H6可调数字电子钟五、小结这次实验课,让我们更加了解了集成块741

13、60的结构,学会使川集成块组成任意进制计数器。使用74160构成计数器时,应该注意使能端的使用、时钟脉冲信号多少,那些会影响仿真波形,但足,如果时钟脉冲多了的话,仿真波形就会在不该跳转的时候跳转(如电子时钟计数器)《EDA技术》课程实验报告学生姓名:邓绍峰胡浪所在班级:电信1302班指导教师:许慧燕记分及评价:报告满分3分得分一、实验名称实验4:3-8译码器的设计二、任务及要求【基本部分】1、在QuartusII平台上,采用文本输入设计方法,通过编写VHDL语言程序,完成3-8译码器的设计并进行时序仿真。2、没计完成后生成一个元件,以供更高

14、层次的没计调用。3、实验箱上进行验证。XyXyXy00010000001011ilium110011111100011100001110000011000111101010100

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。