基于eda音乐播放器的设计

基于eda音乐播放器的设计

ID:2177857

大小:321.50 KB

页数:16页

时间:2017-11-15

基于eda音乐播放器的设计_第1页
基于eda音乐播放器的设计_第2页
基于eda音乐播放器的设计_第3页
基于eda音乐播放器的设计_第4页
基于eda音乐播放器的设计_第5页
资源描述:

《基于eda音乐播放器的设计》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、长沙学院课程设计说明书题目音乐播放器的设计系(部)电子与通信工程专业(班级)电气工程及其自动化(一班)姓名学号指导教师起止日期2010-11-29至2010-12-1016EDA技术课程设计任务书系(部):电子与通信工程系专业:电气工程及其自动化指导教师:课题名称音乐播放器的设计设计内容及要求在SOPC开发平台上实现一个音频信号发生器,编写3段音乐,利用开发平台的蜂鸣器来播放几段音乐。可进行自动循环播放和手动播放两个模式的选择。如果为手动播放,则通过拨动拨码开关第1、2位选择。播放音乐时,要求将该音乐的序号(分别为A1、A2,A3)以及该音乐播放剩余的时间(分、秒)显示在数码管上。当某首音乐

2、开始播放时,音乐序号闪烁显示3秒钟。系统提供50MHZ频率的时钟源。完成该系统的硬件和软件的设计,并制作出实物装置,调试好后并能实际运用(指导教师提供制作所需的器件),最后就课程设计本身提交一篇课程设计说明书。设计工作量1、VHDL语言程序设计;2、波形仿真;3、在实验装置上进行硬件测试,并进行演示;4、提交一份完整的课程设计说明书,包括设计原理、程序设计、程序分析、仿真分析、硬件测试、调试过程,参考文献、设计总结等。进度安排起止日期(或时间量)设计内容(或预期目标)备注第1天课题介绍,答疑,收集材料第2天设计方案论证第3天进一步讨论方案,对设计方案进行必要的修正,方案确定后开始进行VHDL

3、语言程序设计第4天设计VHDL语言程序第5~9天在实验装置上进行硬件测试,对VHDL语言程序进行必要的修正,并进行演示第10天编写设计说明书教研室意见年月日系(部)主管领导意见年月日16长沙学院课程设计鉴定表姓名学号专业电气工程及其自动化班级一班设计题目音乐播放器的设计指导教师指导教师意见:评定等级:教师签名:日期:答辩小组意见:评定等级:     答辩小组长签名:     日期:    教研室意见:教研室主任签名:日期: 系(部)意见:系主任签名:        日期:     说明课程设计成绩分“优秀”、“良好”、“及格”、“不及格”四类;目录16摘要5设计原理5程序:6实验现象:14引

4、脚分配:14仿真波形:15心得体会:16参考文献:16摘要:16在SOPC开发平台上实现一个音频信号发生器,编写3段音乐,利用开发平台的蜂鸣器来播放几段音乐。可进行自动循环播放和手动播放两个模式的选择。如果为手动播放,则通过拨动拨码开关第1、2位选择。播放音乐时,要求将该音乐的序号(分别为A1、A2,A3)以及该音乐播放剩余的时间(分、秒)显示在数码管上。当某首音乐开始播放时,音乐序号闪烁显示3秒钟。关键字:SOPC、音频信号、音乐、蜂鸣器、自动循环、手动播放、剩余时间(分钟、秒钟)显示、音乐序号(A1、A2、A3)显示。设计原理:首先采用分块设计法,将设计分为分频模块、时间动态扫描显示模块

5、、音乐播放模块、顶层模块。其中音乐播放模块又可分为音频模块、音乐代码模块,预置数模块利用计数的方式将50MHZ的频率分为12MHz、100Hz、8Hz、1Hz。音乐播放模块需要完成以下设计:①预置乐曲,本次设计选取了《梁祝》的一段作预置,在作预置时,需要将乐曲音符转换成相应的代码,通过计算逐一将音符转换成代码,通过EDA开发平台quartusⅡ进行乐曲定制;②为了提供乐曲发音所需要的发音频率,编写数控分频器程序,对单一输入高频,进行预置数分频,生成每个音符发音的相应频率;③为了给分频提供预置数,需要计算分频预置数;对每部分结构单元逐一进行编译,生成相应的元器件符号,并对独立结构单元功能进行仿

6、真。音调的控制频率的高低决定了音调的高低。综合考虑各因素,本次设计中选取12MHZ作为CLK的分频计数器的输入分频信号。由于乐曲都是由一连串的音符组成,因此按照乐曲的乐谱依次输出这些音符相对应的频率,就可以在蜂鸣器上连续地发出各个音符的音调。表1 简谱中的音名与频率的关系音名频率/Hz音名频率/Hz音名频率/Hz低音1261.6中音1523.3高音11045.5低音2293.7中音2587.3高音21174.7低音3329.6中音3659.3高音31318.5低音4349.2中音4698.5高音41396.9低音5392中音5784高音51568低音6440中音6880高音61760低音74

7、93.9中音7987.8高音71975.5音长的控制这次设计中所演奏的乐曲的最短的音符为四分音符,如果将全音符的持续时间设为1s的话,那么一拍所应该持续的时间为0.25秒,则只需要再提供一个4Hz的时钟频率即可产生四分音符的时长。系统工作时就按4Hz的频率依次读取简谱,当系统读到某个音符的简谱时就对应发这个音符的音调,持续时间为0.25秒.如果在曲谱文件中某个音符为三拍音长,只要将该音符连续书写三遍,系统读乐

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。