vhdl程序设计教程习题解答

vhdl程序设计教程习题解答

ID:20243811

大小:102.00 KB

页数:15页

时间:2018-10-11

vhdl程序设计教程习题解答_第1页
vhdl程序设计教程习题解答_第2页
vhdl程序设计教程习题解答_第3页
vhdl程序设计教程习题解答_第4页
vhdl程序设计教程习题解答_第5页
资源描述:

《vhdl程序设计教程习题解答》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、《VHDL程序设计教程》习题参考答案VHDL程序设计教程习题参考解答第1章思考题解答````````````````````````````````````````````````````````````````````````````````````````````````````````````````````````````````````````````````````````````````````````````````````````````````````````````````

2、```````````````````````````````1.什么是VHDL?简述VHDL的发展史。答:VHDL是美国国防部为电子项目设计承包商提供的,签定合同使用的,电子系统硬件描述语言。1983年成立VHDL语言开发组,1987年推广实施,1993年扩充改版。VHDL是IEEE标准语言,广泛用于数字集成电路逻辑设计。2.简述VHDL设计实体的结构。答:实体由实体名、类型表、端口表、实体说明部分和实体语句部分组成。根据IEEE标准,实体组织的一般格式为:ENTITY实体名IS[GENERI

3、C(类型表);]--可选项[PORT(端口表);]--必需项实体说明部分;--可选项[BEGIN实体语句部分;]END[ENTITY][实体名];3.分别用结构体的3种描述法设计一个4位计数器。答:用行为描述方法设计一个4位计数器如下,其它描述方法,读者可自行设计。LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;useieee.std_logic_unsigned.all;ENTITYcountAIS15《VHDL程序设计教程》习题参考答案PORT(clk,clr,

4、en:INSTD_LOGIC;Qa,qb,qc,qd:OUTSTD_LOGIC);ENDcountA;ARCHITECTUREexampleOFcountAISSIGNALcount_4:STD_LOGIC_vector(3DOWNTO0);BEGINQa<=count_4(0);Qb<=count_4(1);Qc<=count_4(2);Qd<=count_4(3);PROCESS(clk,clr)BEGINIF(clr='1')THENCount_4<="0000";ELSIF(clk'EV

5、ENTANDclk='1')THENIF(en='1')THENIF(count_4="1111")THENcount_4<="0000";ELSEcount_4<=count_4+'1';ENDIF;ENDIF;ENDIF;ENDPROCESS;ENDexample;第2章思考题解答1.什么叫对象?对象有哪几个类型?答:在VHDL语言中,凡是可以赋于一个值的客体叫对象(object)。VHDL对象包含有专门数据类型,主要有4个基本类型:常量(CONSTANT)、信号(SIGNAL)、变量(VA

6、RIABLE)和文件(FILES)。2.VHDL语言定义的标准类型有哪些?答VHDL语言标准所定义的标准数据类型(1)整数类型(INTEGERTYPE)(2)实数类型或浮点类型(REALTYPE&FLOATINGTYPE)(3)位类型(BITTYPE)(4)位矢量类型(BIT_VECTORTYPE)(5)布尔类型(BOOLEANTYPE)(6)字符类型(CHARACTERTYPE)(7)时间类型或物理类型(TIMETYPE&PHYSICALTYPE)(8)错误类型(NOTE,WARNIING,E

7、RROR,FAILURETYPE)15《VHDL程序设计教程》习题参考答案(9)自然数、整数类型(NATURALTYPE)(10)字符串类型(TRINGTYPE)1.简述VHDL语言操作符的优先级。答:在表2.1中,取反和取绝对值优先级较高,与、或逻辑运算的优先级低于算术运算的优先级。2.哪3种方法可用来进行类型转换?答:进行不同类型的数据变换,有3种方法:类型标记法、函数转换法和常数转换法。第3章思考题解答1.什么叫进程?简述进程的工作方式。答:进程(process)是由外部信号触发执行的一段

8、程序。进程语句是并行处理语句,即各个进程是同时处理的,在结构体中多个Process语句是同时并发运行的。在进程内部是顺序执行的。Process语句在VHDL程序中,是描述硬件并行工作行为的最常用、最基本的语句。进程Process语句中一般带有几个信号量例表,称为该进程的敏感量表。这些信号无论哪一个发生变化都将启动Process进程。一旦启动,进程Process中的程序将从上到下顺序执行一遍,由新变化的量引导进程产生变化结果输出。当进程的最后一个语句执行完成后,就返回到进程开始处,等待敏感量的新变

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。