试验二 超前进位加法器 设计

试验二 超前进位加法器 设计

ID:19962437

大小:454.00 KB

页数:4页

时间:2018-10-08

试验二   超前进位加法器 设计_第1页
试验二   超前进位加法器 设计_第2页
试验二   超前进位加法器 设计_第3页
试验二   超前进位加法器 设计_第4页
资源描述:

《试验二 超前进位加法器 设计》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、试验二超前进位加法器设计练习2.4位超前进位加法器行波进位加法器延时较长,可采用超前进位加法器减少延时,但是由此会造成电路的复杂。超前进位加法器原理参见《数字集成电路》(周润德第二版)424页。对以上公式的注解:公式中的CO,K表示第K为的进位输出,GK为第K位的进位产生,PK为第K为的进位传播。且:AK,BK为输入加数的第K位。将Co和S用G,P重写为:由于低位的输出进位是高位的输入进位,所以有上面的(11.15)式源程序://thisisacarrylookaheadof4bitmoduleclad(ina,inb,ci,co,sum);input[3:0]

2、ina,inb;inputci;outputco;output[3:0]sum;wireco0,co1,co2,G0,G1,G2,G3,P0,P1,P2,P3;assignG0=ina[0]&inb[0],P0=ina[0]^inb[0],G1=ina[1]&inb[1],P1=ina[1]^inb[1],G2=ina[2]&inb[2],P2=ina[2]^inb[2],G3=ina[3]&inb[3],P3=ina[3]^inb[3],co0=G0

3、(P0&ci),co1=G1

4、(P1&co0),co2=G2

5、(P2&co1),co=G3

6、(P3&co2),

7、sum[0]=P0^ci,sum[1]=P1^co0,sum[2]=P2^co1,sum[3]=P3^co2;endmodule测试程序:moduleclad_test;reg[3:0]ina,inb;regci;wireco;wire[3:0]sum;cladCI(.ina(ina),.inb(inb),.ci(ci),.co(co),.sum(sum));initialbeginina=0;inb=0;ci=0;endalways#5ina=ina+1'b1;always#80inb=inb+1'b1;always#1280ci=~ci;endmodule仿

8、真结果:注:该结果为10进制显示练练手:参考《数字集成电路》(周润德第二版)420-421,设计一个16位的超前进位加法器,基本单元使用4位的加法器,结构自选。

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。