论文 基于VHDL的地铁售票控制系统设计

论文 基于VHDL的地铁售票控制系统设计

ID:18908170

大小:799.00 KB

页数:16页

时间:2018-09-25

论文 基于VHDL的地铁售票控制系统设计_第1页
论文 基于VHDL的地铁售票控制系统设计_第2页
论文 基于VHDL的地铁售票控制系统设计_第3页
论文 基于VHDL的地铁售票控制系统设计_第4页
论文 基于VHDL的地铁售票控制系统设计_第5页
资源描述:

《论文 基于VHDL的地铁售票控制系统设计》由会员上传分享,免费在线阅读,更多相关内容在学术论文-天天文库

1、基于VHDL的地铁售票控制系统设计长沙理工大学《通信电路EDA》课程项目报告系别水利计通系专业通信工程班级通信1003班指导教师单树明项目组组长陈希超学号201085250327项目组成员黄诗扬学号201085250319项目组成员刘明睿学号201085250332项目组成员李明学号201085250334完成日期2012年11月8日16基于VHDL的地铁售票控制系统设计地铁售票控制系统设计1引言1.项目描述:用于模仿地铁售票的自动售票,完成地铁售票的核心控制功能。2.项目要求:售票机有两个进币孔,一个是输入硬币,一个是输

2、入纸币,硬币的识别范围是5角和1元的硬币,纸币的识别范围是1元、2元,5元,10元。乘客可以连续多次投入钱币。乘客可以选择的种类为2元和4元,乘客一次只能选择一个出站口。购买车票时,乘客先选择出站名,然后选择所需的票数,再进行投币,投入的钱币达到所需金额时,售票机自动出票,并找出余额,本次交易结束,等待下一次的交易。在投币期间,乘客可以按取消键取消本次操作,钱币自动退出。2设计思想1.买车票时,乘客按“开始选择”按钮,接着选择出站口接着选择购票数量(根据提示,一次购票数限制在3张以内);然后按“开始投币”按钮,投入钱币;当

3、投入的钱币达到所需金额时,售票机自动出票,并找出余额。考虑到控制整个售票机的物理体积,余额将全部采用5角硬币的形式找出。2.选择出站口或投币过程中,乘客都可以按“取消”按钮取消该次交易。选择出站口的过程中,若按“取消”,则售票机直接回到初始状态;投币过程中,若按“取消”,则售票机将乘客已经投入的钱币全部退出,再回到初始状态。3.乘客一次只能选择一个出站口,根据乘坐的站数确定票价。假设乘客购票所在站到终点站共有15站。若乘坐1-8站,则票价为2元/张;若乘坐9-16站,则票价为4元/张。16个站用长度位4的二进制向量编码表示

4、,即0001表示乘坐1站,0010表示乘坐2站,依次类推,1111表示乘坐15站(到终点站)。a)16基于VHDL的地铁售票控制系统设计出票机有2个进币端口。硬币口可识别5角和1元2种硬币,拟用长度为2的一比特热位(one-hot)编码方式表示,即01表示5角,10表示1元。纸币口类似,用长度为4的一比特热位编码方式表示。乘客可以连续多次投入钱币,并且可以以任意顺序投入硬币和纸币。a)出票机设有钱币“暂存杆”,其有3个状态:退币状态、等待状态、进币状态,分别用00、01、10表示。退币状态下,“暂存杆”将乘客投入的钱币推出

5、;等待状态下,投入的钱币被“暂存杆”挡住暂存;进币状态下,“暂存杆”将乘客投入的钱币推入售票机内部。系统流程图:初始状态按“开始选择”选择出站口按“开始投币”投入钱币钱币足够找零口找零出票口出票按“取消”按“取消”退回钱币钱币入库选择购票数16基于VHDL的地铁售票控制系统设计程序源代码及注释libraryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;entitysubwayisport(clk:instd_logic;--系统时钟select

6、_start:instd_logic;--“开始选择”按钮insert_start:instd_logic;--“开始投币”按钮coin:instd_logic_vector(1downto0);--硬币入口note:instd_logic_vector(3downto0);--纸币入口cancel:instd_logic;--“取消”按钮ticket_stop:instd_logic_vector(3downto0);--出站口编号ticket_account:instd_logic_vector(1downto0);-

7、-购票张数money_gate:outstd_logic_vector(1downto0);--钱币“暂存杆”ticket_out:outstd_logic_vector(4downto0);--购买的车票ticket_gate:outstd_logic;--出票口change_gate:outstd_logic--找零口);endsubway;architecturebehaveofsubwayistypestate_typeis(initialize_state,select_state,insert_state,ti

8、cket_state,change_state);--状态变量申明signalstate:state_type;--状态信号申明16基于VHDL的地铁售票控制系统设计beginprocess(clk)variabletype_temp:std_logic;--记录是否已选择出站口的变量variablea

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。