课程设计:电子密码锁设计

课程设计:电子密码锁设计

ID:18904286

大小:1.68 MB

页数:23页

时间:2018-09-20

课程设计:电子密码锁设计_第1页
课程设计:电子密码锁设计_第2页
课程设计:电子密码锁设计_第3页
课程设计:电子密码锁设计_第4页
课程设计:电子密码锁设计_第5页
资源描述:

《课程设计:电子密码锁设计》由会员上传分享,免费在线阅读,更多相关内容在学术论文-天天文库

1、EDA技术课程设计—电子密码锁设计绍兴文理学院数理信息学院课程设计报告书题目电子密码锁设计姓名XXX学号XXX专业班级电信指导教师时间2013年1月14日IIEDA技术课程设计—电子密码锁设计课程设计任务书班级电信XXX姓名XXX题目电子密码锁设计技术参数、设计要求、检测数据等在EDA实验箱上利用FPGA、4*4键盘及数码管实现电子密码锁,功能要求如下:l模拟实现电子密码锁的开锁、解锁、改密码等基本功能l实现万能密码功能l当用户密码连续3次输入错误时,报警并锁定键盘l当用户密码输入时间超过一定值(如30秒)时,提示超时l在密码设置状态下输入密码时,所输入数字在数码管显示

2、;其余状态下,所输密码在对应数码管上以特殊字符屏蔽显示设计进度安排或工作计划2013.1.4~2013.1.4:任务分配,熟悉课题,查询相关资料,出方案初稿。2013.1.5~2013.1.5:方案交流并定稿,划分设计模块,课程设计开展。2013.1.6~2013.1.7:各模块设计实现及调试、验证。(其中8、9号暂停)2013.1.10~2013.1.13:设计整体实现、调试及验证,并开始撰写报告。2013.1.14~2013.1.15:设计完成,课程设计报告撰写并定稿,上交。其它认真阅读EDA技术课程设计报告撰写规范;课题小组经协商好要指定组长并明确分工,形成良好团

3、队工作氛围;基于课题基本要求,各小组课再细化、增加要求;课题小组每成员均需各自撰写一份课程设计报告。IIEDA技术课程设计—电子密码锁设计电子密码锁设计摘要本文介绍了一种采用单片FPGA芯片进行电子密码锁的设计方法,主要阐述如何使用新兴的EDA器件取代传统的电子设计方法,利用FPGA的可编程性,简洁而又多变的设计方法,缩短了研发周期。电子密码锁是一种通过密码输入来控制电路或是芯片工作,从而控制机械开关的闭合,完成开锁、闭锁任务的电子产品。它的种类很多,有简易的电路产品,也有基于芯片的性价比较高的产品。密码锁是以芯片为核心,通过编程来实现的,其性能和安全性已大大超过了机械

4、锁。[1]该电子密码锁以QuartusII软件平台完成电子密码锁的功能设计和仿真,并在FPGA芯片EP1C12Q240C8和EDAV+开发系统上进行功能验证。结果表明,该密码锁具有高安全性、操作简单等特点。关键词:FPGA;EDA;VHDL;电子密码锁IIEDA技术课程设计—电子密码锁设计目录课程设计任务书I摘要II1.设计概述41.1设计背景41.2电子密码锁的发展前景42.设计方案42.1输入电路52.2控制模块电路52.3显示模块电路63.电子密码锁的实现63.1系统的总体原理图63.2系统各模块的实现64.设计验证104.1软件仿真104.2硬件验证115.总结

5、12参考文献13附录源码14EDA技术课程设计—电子密码锁设计1.设计概述1.1设计背景随着电子技术的发展,具有防盗报警功能的电子密码锁越来越受到人们的青睐,用其代替密码量少、安全性差的机械密码锁已是必然趋势。电子密码锁与普通机械锁相比,具有无可比拟的优越性,如保密性好、防盗性强、可以不用钥匙记住密码即可开锁等。目前使用的密码锁大部分是基于单片机技术,以单片机为主要器件,以软件的方式生成编码器和解码器。而在实际应用中,由于程序容易跑飞,使得系统的可靠性较差。基于FPGA器件的电子密码锁,所有算法完全由硬件电路实现,使得系统的可靠性大为提高。[2]1.2电子密码锁的发展前

6、景在当今社会中,人们对于隐私的保护和对物品安全的重视程度与日俱增。因此,使用了现代电子技术的电子密码锁便有了广泛的应用前景。电子密码锁与普通机械密码锁相比,具有无可比拟的优越性,如保密性好,防盗性强,可以不用钥匙记住密码即开锁等,密码锁的主要功能是用来对某些操作进行加密保护,目的是避免无权人员使用,它的实际应用十分广泛,例如门卡系统,自动售货机,银行自动柜员机或者保险柜中都含有这种密码锁,本次所设计的电子密码锁,能够实现该四位十进制并行密码保护,当输入的密码与锁内密码一致时,绿灯亮,开锁;当输入的密码与锁内的密码不一致时,红灯亮,不能开锁。密码锁的密码可由用户自行设置,

7、并可在LED数码管显示屏上显示所输入的密码。为人们的财产、信息安全提供了可靠地保障。[3]2.设计方案22EDA技术课程设计—电子密码锁设计图2-1方案系统框图2.1输入电路作为电子密码锁的输入模块,课题选用的方案有按键来控制输入和触摸式键盘输入等多种。本实验主要采用8*4矩阵键盘作为输入电路。其主要分为以下三大模块:(1)时序产生模块:对主时钟进行分频,提供各模块的时钟信号。(2)按键去抖模块:防止机械按键抖动引起误判。(3)键盘扫描模块:判断键盘输入的按键键值。2.2控制模块电路(1)预置密码寄存器:将密码设置模式下输入的密码值保存。

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。