基于fpga控制的led汉字滚动显示器设计

基于fpga控制的led汉字滚动显示器设计

ID:18217816

大小:180.00 KB

页数:16页

时间:2018-09-15

基于fpga控制的led汉字滚动显示器设计_第1页
基于fpga控制的led汉字滚动显示器设计_第2页
基于fpga控制的led汉字滚动显示器设计_第3页
基于fpga控制的led汉字滚动显示器设计_第4页
基于fpga控制的led汉字滚动显示器设计_第5页
资源描述:

《基于fpga控制的led汉字滚动显示器设计》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、基于FPGA控制的LED汉字滚动显示器设计  2硬件原理图  整个电路由五大部分组成:时钟计数模块GEL_CLK,存储汉字字模的ROM模块ROMZI,数据分配器模块MUX,移位模块YW及显示模块XIANSH-I。时钟计数模块用于产生整个电路所需要的时钟及其对时钟的计数值,例如:移位时钟CLKYW,移位计数器CNTYW,字计数器CNTWORD,显示扫描计数器CNTSM。ROMZI模块是由QualtusⅡ中的LPM1PORTROM定制成,用来存储8个待显示的汉字。MUX模块用于在扫描时钟及扫描计数器的作用下,从ROM中

2、读出一个汉字的8个行字模信息,送给移位模块YW,YW模块在移位时钟及移位计数器作用下,根据SELECT信号选择对读出的字模信息,进行相应的移位(左移、右移、上移、下移)后,最后送显示模块DISP驱动LED点阵显示汉字。原理图如图2所示。  3.2ROMZI模块  利用LPM参数化模块库中单口ROM,利用QualtusⅡ中的MegaWizardPlug-InManager定制而成,定制前首先要制作LPMROM初始化文件,其中存储待显示汉字的字模数据,然后按照LPMMegaWizardPlug-InManager的向导

3、提示,结合设计要求进行定制。  图3为所定制ROM中的初始化汉字“元旦生日开心快乐”的字型码。数据分配模块MUX要求能在8个时钟作用下,从ROM中读出一行(一个汉字的8个字型码)分别送到数据分配器中的WLl~WL8输出端。图4为数据分配模块在扫描时钟作用下读取的字模数据,比较图3和图4可知,仿真结果正确,能满足题目要求。3.3移位模块YW  移位模块YW是整个设计的核心,行扫描实现左移,是通过每来一个移位时钟,将每一行的字模按位左移一位,扫描时钟到来时送出移位后的新字模。通过8次移位,可将一个汉字移出点阵平面,按类

4、似的道理,也可以将一个汉字经8次移位后移进点阵平面。本例(图2)中,CNTYW为移位时钟的计数值,以WLl~WL8为欲显示汉字的原始字模,L10~L80为移位后从列上送出的8行显示字模信息,LLl~LL8为8个原始字模信息未送出位的暂存信号。设计中需要16个移位时钟,通过前8个时钟将WLl~WL8字模移进LED点阵平面,再经后8个时钟,将汉字又一位一位地移出。移位设计参考文献中有关移位寄存器的设计,分计数值为“0000"和非"0000"两部分处理,对第一行字模的处理为:   其他行可按相同方法处理,具体参见如下的程

5、序:libraryIEEE;useIEEE.std_logic_1164.all;useieee.std_logic_arith.all;useieee.std_logic_unsigned.all;entitymemtestisport(rst:instd_logic;clk:instd_logic;den:instd_logic;--serialinputenablerxd:instd_logic;--serialinputdataouten:instd_logic;--outputdatarequestrdm

6、em:outstd_logic;--readmemorywrmem:outstd_logic;--writememorycsmem:outstd_logic;--chipenablememorymemdata:inoutstd_logic_vector(7downto0);--memorydatainterfacememaddr:outstd_logic_vector(2downto0);--memoryaddressdataout:outstd_logic_vector(7downto0);--dataoutpu

7、tdataclkout:outstd_logic--dataoutputsyncclk);endmemtest;architecturebehavofmemtestisconstants0:std_logic_vector(2downto0):="001";constants1:std_logic_vector(2downto0):="010";constants2:std_logic_vector(2downto0):="100";signalss:std_logic_vector(2downto0);signa

8、lrdmemaddr,wrmemaddr:std_logic_vector(2downto0);signalrxdcnt:std_logic_vector(3downto0);signalrdmemdata,wrmemdata:std_logic_vector(7downto0);signalwrmem_s,wrrdy,dataclkout_s:std_lo

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。