max plus2使用大全课件

max plus2使用大全课件

ID:18089386

大小:1.14 MB

页数:67页

时间:2018-09-13

max plus2使用大全课件_第1页
max plus2使用大全课件_第2页
max plus2使用大全课件_第3页
max plus2使用大全课件_第4页
max plus2使用大全课件_第5页
资源描述:

《max plus2使用大全课件》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、示例课件目录1、原理图输入设计方法示例9/7/20211湖北众友科技EDA工作室1、原理图输入设计方法示例1.1(组合逻辑)1位全加器设计1.2(时序逻辑)2位十进制数字频率计设计1.3参数可设置LPM兆功能块设计1.4波形输入设计方法9/7/20212湖北众友科技EDA工作室1.1:1位全加器设计向导1.11实验目的熟悉利用MAX+plusII的原理图输入方法设计简单组合电路,掌握层次化设计的方法。1.12实验原理1位全加器可以用两个半加器及一个或门连接而成。先设计底层文件:半加器,再设计顶层文件全加器。返回目录9/7/20213湖北众友科技EDA工作室1

2、.13基本设计步骤任何一项设计都是一项工程(Project),都必须首先为此工程建立一个放置与此工程相关的文件的文件夹,此文件夹将被EDA软件默认为工作库(WorkLibrary)。一般不同的设计项目最好放在相应的文件夹中,注意,一个设计项目可以包含多个设计文件。假设本项设计的文件夹取名为MY_Project,路径为D:MY_Project,MAX+plusII软件装在D盘maxplus2文件夹下。注意:文件夹名不能用中文,且不可带空格。步骤1:为本项工程设计建立文件夹9/7/20214湖北众友科技EDA工作室(1)打开MAX+plusII,选菜单“Fil

3、e”→“New”。步骤2:输入设计项目和存盘9/7/20215湖北众友科技EDA工作室(2)在弹出的“New”对话框中选择“FileType”中为原理图编辑输入项“GraphicEditorfile”,按“OK”后将打开原理图编辑窗。步骤2:输入设计项目和存盘9/7/20216湖北众友科技EDA工作室选择该项可建立一个图形输入文件文件格式使用默认格式:gdf可编辑用户生成的符号文件建立文本输入文件,如VHDL,VerilogHDL,mif文件可建立波形文件“New”对话框说明步骤2:输入设计项目和存盘9/7/20217湖北众友科技EDA工作室(3)在原理图编

4、辑窗中的任何一个位置上双击鼠标,将弹出输入元件项“EnterSymbol”的对话框。步骤2:输入设计项目和存盘图形编辑区图形编辑工具9/7/20218湖北众友科技EDA工作室“EnterSymbol”对话框说明步骤2:输入设计项目和存盘用户工作库,末存盘时为默认文件夹,此处已存盘到自己建立的文件夹元件符号名输入区,可直接输入所需元件的符号名库选择区,软件安装在D盘maxplus2文件夹下,除了用户工作库外,还有四种元件库,包含了基本逻辑元件库prim,如门、触发器等;宏功能元件库mf,如74系列等;参数可设置兆功能元件库mega_lpm,如LPM_FIFO;

5、逻辑元件与宏功能元件扩展库edif等当前选中的库为用户工作库,末存盘时为默认文件夹当前选中库的元件列表区9/7/20219湖北众友科技EDA工作室步骤2:输入设计项目和存盘(4)调入元件and2、not、xnor、input和output。方法二:用键盘直接输入所需元件名,再单击“OK”按钮即可将元件调入原理图编辑窗中。方法一:用鼠标双击元件库“SymbolLibraries”中d:maxplus2maxplus2max2libprim项。在“SymbolFiles”窗口即可看到基本逻辑元件库prim中的所有元件,双击需要的元件即可调入原理图编辑窗中

6、。9/7/202110湖北众友科技EDA工作室步骤2:输入设计项目和存盘(5)排列好调入的元件,连接好原理图。连线方法:把鼠标放在A处变成十字形,按处左键保持十字形移至B处松开即完成连接。AB9/7/202111湖北众友科技EDA工作室步骤2:输入设计项目和存盘(6)分别在input和output的PIN_NAME上双击使其变黑色,再用键盘分别输入各引脚名:a、b、co、so。半加器表达式:进位:co=aandb和:so=axnor(notb)注意:半加器用原理图的实现方法很多,此处用同或的方法来实现。有兴趣的同学可以用其它的方法来实现。9/7/202112

7、湖北众友科技EDA工作室步骤2:输入设计项目和存盘(7)选择菜单“File”→“SaveAs”,选择刚才为自己的工程建立的目录D:MY_Project,将已设计好的图文件取名为:h_adder(注意后缀是.gdf),并存盘在此目录内。1、选择目录2、选择gdf后缀3、输入文件名9/7/202113湖北众友科技EDA工作室步骤3:将设计项目设置成工程文件为了使MAX+plusII能对输入的设计项目按设计者的要求进行各项处理,必须将设计文件设置成当前Project。末设置时9/7/202114湖北众友科技EDA工作室步骤3:将设计项目设置成工程文件设置后如果设

8、计项目由多个设计文件组成,则应该将它们的主文件,即顶

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。