电子密码锁数电课程设计报告

电子密码锁数电课程设计报告

ID:18019918

大小:109.50 KB

页数:9页

时间:2018-09-12

电子密码锁数电课程设计报告_第1页
电子密码锁数电课程设计报告_第2页
电子密码锁数电课程设计报告_第3页
电子密码锁数电课程设计报告_第4页
电子密码锁数电课程设计报告_第5页
资源描述:

《电子密码锁数电课程设计报告》由会员上传分享,免费在线阅读,更多相关内容在学术论文-天天文库

1、大连理工大学本科实验报告-------数电课程设计题目:电子密码锁课程名称:数字电路课程设计学院系:电子信息与电气工程学部专业:自动化班级:电自1001学生姓名:王艳学号:完成日期:2012—12—18成绩:题目:电子密码锁1设计要求一.基本功能1、设计一个密码锁,开锁代码为8位二进制数,通过串行以按键的形式输入,要求能够显示当前输入的位数,但不显示输入的具体密码。2、当输入代码的位数和给定的密码一致,按下开锁键,用LED显示开锁成功。如果密码不正确,提示开锁不成功,当连续三次密码输入错误,不允许再输入密码,并且提

2、示报警。不同的提示可用不同的LED闪烁频率或花型显示来表示。3、开锁的密码可以进行设置,但设置的前提是原来密码必须输入正确。二.扩展功能运用PS2接口,通过键盘来输入密码。2设计分析及系统方案设计密码锁控制器是硬件与软件的结合。根据设计要求,以FPGA芯片和VHDL语言设计此电子密码锁。本演示系统实现了:1、输入密码正确后,正确小灯(green)亮,错误小灯(red)不亮;2、当输入密码正确后,可修改密码,可使用want开关正确进行密码修改功能,而后密码被重新设定为新的密码,当密码输入错误的时候并不可以修改密码,此

3、时即使按下want键也不好用;3、输入错误密码,正确小灯(green)不亮,错误小灯(red)亮;4、按下reset后,密码归为初始密码;5、输入密码时,同时数码管显示输入密码个数,不显示具体密码;6、显示连续输入错误密码次数,用数码管显示,次数小于3时,自动进入下一次输入状态,错误次数大于等于3,即以1HZ频率闪烁的LED灯提示报警报警,且无法再次输入密码,直到按下reset.3系统以及模块硬件电路设计用VHDL设计电子密码锁方案:作为通用电子密码锁,主要由3个部分组成:数字密码输入电路、密码锁控制电路和密码锁显

4、示电路。3.1系统总框图锁体LED密码存储开锁输入比较数码管电子密码锁原理框图3.2密码提示电路七段数码管是电子开发过程中常用的输出显示设备。在本设计中使用的是1个共阳极型七段数码管。其单个静态数码管如下图3.6所示。由于七段数码管公共端连接到GND(共阳极型),当数码管的中的一个段被输入低电平,则相应的这一段被点亮。反之则不亮。共阳极性的数码管与之相反。在本次密码锁电路中,7段式数码显示管的作用一为提示当前输入的密码为第几个,因为密码有八位,很可能让正在输入密码的人忘记输入到了第几位,而数显管恰好起到了提示作用,

5、此处的设计体现了其更加智能化和人性化的理念。二为提示输入错误密码次数,当达到三次时将提示报警并不能再次输入密码,增加了密码锁的安全性能。图2单个数码管管脚示意图3.3输入密码、更改密码、复位密码及报警电路此处电路的实现需要使用四个开关及三个小灯。开关SW依次实现的按钮功能为用于输入串行密码(共8位)的密码按键,复位成初始系统自带密码的RESET键,当密码输正确的可以选择设置新密码的按键WANT,(当密码输入不正确时,此按键并不好用)。灯分别为红灯绿灯用于报警电路提示此时输入的密码是正确的还是错误的。同时在程序端口中

6、需要的相当于时钟CLK功能的按键,在外部输入电路中起到的作用是相当于按键确认功能,每输入一位密码,确认该密码。在程序中需要count计数以保证达到8位密码即判断密码输入正确与否,未达到8位由外部人员继续输入直至到达8位。当密码三次输入错误,用1HZ频率闪烁的小灯提示报警。3.4模拟电路3.4引脚分布接口名称类型(输入/输出)结构图上的信号名引脚号说明clkinKEY[0]PIN_G26时钟信号,按一次输入一位kinSW[0]PIN_N25高电平表示输入1greenoutLEDG[0]PIN_AE22输入正确时亮re

7、doutLEDR[0]PIN_AE23输入错误时亮resetinSW[1]PIN_N26按下(为1)时复位,密码归为原密码wantinSW[2]PIN_P25是否修改密码,为1时修改coutHEX0(数码管)提示作用c[0]outHEX0[0]PIN_AF10数码管c[1]outHEX0[1]PIN_AB12数码管c[2]outHEX0[2]PIN_AC12数码管c[3]outHEX0[3]PIN_AD11数码管c[4]outHEX0[4]PIN_AE11数码管c[5]outHEX0[5]PIN_V14数码管c[6

8、]outHEX0[6]PIN_V13数码管clk0in50MHZPIN_N2输入50MHZalarmoutLEDG8PIN_Y12提示报警doutHEX7数码管(错误次数)d[0]outHEX7[0]PIN-L3数码管d[1]outHEX7[1]PIN-L2数码管d[2]outHEX7[2]PIN-L9数码管d[3]outHEX7[3]PIN-L6数码管d[

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。