vhdl程序:基于vhdl的洗衣机控制 器主程序

vhdl程序:基于vhdl的洗衣机控制 器主程序

ID:17879695

大小:24.80 KB

页数:21页

时间:2018-09-08

vhdl程序:基于vhdl的洗衣机控制 器主程序_第1页
vhdl程序:基于vhdl的洗衣机控制 器主程序_第2页
vhdl程序:基于vhdl的洗衣机控制 器主程序_第3页
vhdl程序:基于vhdl的洗衣机控制 器主程序_第4页
vhdl程序:基于vhdl的洗衣机控制 器主程序_第5页
资源描述:

《vhdl程序:基于vhdl的洗衣机控制 器主程序》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、vhdl程序:基于VHDl的洗衣机控制器主程序1.运行的时候运行xijiyi.vhd即可,其它10个小模块功能独立:蜂鸣器,控制设置,洗衣机盖子,洗衣机盖子设置,电机马达,模式板块,所有模式,盖子揭开后中断,开始暂停.2.这个程序是模块化的。另外程序是单个进程的,所有要求的功能模块都写在里面,看起来会比较容易理解,运行起来也是对的。3.版权所有:JonathanHe...2009blog.sina.com.cn/heyongsong4.仅作参考,仅作学习与交流.一:模块化----------以下10个

2、文件放在一个文件夹里面,最后运行xiyiji.vhd1.文件名:buzzer_set.vhdlibraryieee;useieee.std_logic_1164.all;USEieee.std_logic_signed.all;USEieee.std_logic_arith.all;entitybuzzer_setis--蜂鸣器port(clk:INstd_logic;p:instd_logic;control:instd_logic_vector(2downto0);flag:instd_logic

3、;buzzer:outstd_logic:='0');endbuzzer_set;architecturearch_buzzerofbuzzer_setissignalq:std_logic_vector(4downto0):="00000";beginprocess(clk)beginifclk'eventandclk='1'thenifp='1'andcontrol="110"andflag='1'thenifq="11110"thenq<="11110";buzzer<='0';elseq<=q

4、+'1';buzzer<='1';endif;elsebuzzer<='0';endif;endif;endprocess;endarch_buzzer;2文件名:controlset.vhdlibraryieee;useieee.std_logic_1164.all;useieee.std_logic_arith.all;useieee.std_logic_unsigned.all;ENTITYcontrolsetISport(clk:instd_logic;ps:instd_logic;modeo

5、ut_a:instd_logic_vector(1downto0);--输入的强、标准、弱的信号;modeout_b:instd_logic_vector(2downto0);--洗、漂、甩的各种组合led_qiang:outstd_logic:='0';led_biaozhun:outstd_logic:='0';led_ruo:outstd_logic:='0';led_xi:outstd_logic:='0';led_piao:outstd_logic:='0';led_shuai:outstd

6、_logic:='0';control:outstd_logic_vector(2downto0));end;architecturearc_controlofcontrolsetis--根据模式的设定,送出电机的运转时间控制信号;signalqxtime:std_logic_vector(10downto0):="10000111000";--强洗-1080秒;signalbzhxtime:std_logic_vector(10downto0):="01101001000";--标准洗-840秒si

7、gnalrxtime:std_logic_vector(10downto0):="01001011000";--弱洗-600秒;signalqptime:std_logic_vector(10downto0):="01101001000";--强漂-840秒;signalbzhptime:std_logic_vector(10downto0):="00111100000";--标准漂-480秒signalrptime:std_logic_vector(10downto0):="00101101000"

8、;--弱漂-360秒signalshuaitime:std_logic_vector(10downto0):="00011110000";--甩--时间240秒signalmodeout_c:std_logic_vector(4downto0);signalq_x_p_time:std_logic_vector(10downto0):="11110000000";--强+洗+漂一共1920秒signalq_x_sh_time:std_logic_vect

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。