eda 实验报告册new

eda 实验报告册new

ID:17745411

大小:83.00 KB

页数:14页

时间:2018-09-05

eda 实验报告册new_第1页
eda 实验报告册new_第2页
eda 实验报告册new_第3页
eda 实验报告册new_第4页
eda 实验报告册new_第5页
资源描述:

《eda 实验报告册new》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、EDA实验报告册——电子信息科学与技术班级学号姓名实验一二选一电路设计一、实验目的:掌握quartusⅡ软件的授权,并熟悉基本project的建立过程,仿真要求二、实验器材:PC机一台、EDA教学实验系统、下载电缆一根(已连接好)。三、实验要求:1.利用文本输入法对2选1电路设计进行描述;2.进行波形仿真测试;四、实验原理简述2选一的原理五、实验步骤:在quartusⅡ6.0上进行编程、编译、综合、适配和仿真。六、实验报告:将实验原理、设计过程、编译仿真波型和分析结果、硬件测试实验结果写进实验报告。重点完成授权过程的正确操作的认识,写出完成一个

2、基本设计过程的认识,以及仿真函数的选择。实验二三选一电路设计一、实验目的:掌握基本顺序选择语句的使用学习多选一电路的设计、仿真和硬件测试,熟悉VHDL设计技术。二、实验器材:PC机一台、EDA教学实验系统、下载电缆一根(已连接好)。三、实验要求:1.利用文本输入法对3选1电路设计进行描述;2.进行波形仿真测试;四、实验原理从多选一的原理出发,五、实验步骤:在quartusⅡ9.0上进行编程、编译、综合、适配和仿真。六、实验报告:将实验原理、设计过程、编译仿真波型和分析结果、硬件测试实验结果写进实验报告。实验三设计一个38译码器一、实验目的:学习

3、组合逻辑电路的设计、仿真和硬件测试,进一步熟悉VHDL设计技术。二、实验器材:PC机一台、EDA教学实验系统、下载电缆一根(已连接好)。三、实验要求:1.利用文本输入法对38译码器电路设计进行描述;2.进行波形仿真测试;四、实验原理写出38译码器的基本原理或者逻辑图、真值表等,主要是为程序服务的基本原理五、实验步骤:在quartusⅡ9.0上进行编程、编译、综合、适配和仿真。六、实验报告:将实验原理、设计过程、仿真波型和分析结果、写进实验报告。实验四用文本输入法设计D触发器一、实验目的:1.熟悉quartusⅡ9.0的VHDL文本设计过程。2.

4、学习简单时序电路的设计、仿真和硬件测试。二、实验器材:装有quartusⅡ9.0PC机一台.三、实验要求:1.利用文本输入法对D触发器和锁存器电路进行描述;2.进行波形仿真测试;3.严格按照实验步骤进行实验;4.管脚映射按照芯片的要求进行。四、实验原理:1.D触发器的设计(基本的D触发器原理)设计源程序如下所示:五、实验步骤:与原理图输入法的步骤基本相同。六、实验报告:分析两个实验的仿真和测试结果,说明这两个电路的异同点。实验五用原理图输入法设计半加器一、实验目的:1.熟悉利用quartusⅡ9.0的原理图输入方法设计简单组合电路;2.通过一个

5、半加器的设计把握利用EDA软件进行电子线路设计的详细流程;3.学会对实验板上的FPGA/CPLD进行编程下载,硬件验证自己的设计项目。二、实验器材:PC机一台。三、实验要求:1.利用原理图输入法对半加器电路进行描述;2.进行波形仿真测试;3.严格按照实验步骤进行实验;4.管脚映射按照芯片的要求进行。四、实验原理v1.根据真值表写出电路的逻辑表达式输入输出abSoCo0000011010101101其中a,b为输入端口,So与Co分别为半加器和与进位。其逻辑表达式为:2.根据逻辑表达式进行原理图输入。(或画出原理图)五、实验步骤:1.为本项工程设

6、计建立文件夹。2.输入设计项目并存盘。3.将设计项目设计为工程文件。4.选择目标器件并编译。5.时序仿真。6.引脚锁定。7.观察RTL电路图实验五附加内容:用原理图法设计一位、(四位)全加器一、实验目的:1.熟悉利用quartusⅡ9.0的原理图输入方法设计简单组合电路;2.通过一个半加器的设计把握利用EDA软件进行电子线路设计的详细流程;3.学会对实验板上的FPGA/CPLD进行编程下载,硬件验证自己的设计项目。二、实验器材:PC机一台、EDA教学实验系统、下载电缆一根(已连接好)。三、实验要求:1.利用原理图输入法对一位全加器电路进行描述;

7、2.进行波形仿真测试;3.严格按照实验步骤进行实验;4.管脚映射按照芯片的要求进行。四、实验原理:利用实验一所设计的半加器设计一位全加器;利用设计封装好的一位全加器进行四位全加器的设计。五、实验步骤:与实验五相同。六、实验报告:1.要求画出一位、四位全加器的真值表;2.分析用半加器实现一位全加器的优点;3.对波形进行分析,并绘制波形图。用波形图来证明程序的正确性。实验六7段数码显示译码器设计一、实验目的:1.学习7段数码显示译码器设计;2.学习VHDL的多层次设计方法。二、实验器材:PC机一台、EDA教学实验系统、下载电缆一根(已连接好)。三、

8、实验要求:1.利用文本输入法对7段数码显示译码器进行描述;2.修改课本例3.21为四位二进制的同步使能异步清零的加法计数器,并完成7段数码与16进制加

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。