医院病房呼叫系统设计

医院病房呼叫系统设计

ID:16899948

大小:170.00 KB

页数:16页

时间:2018-08-25

医院病房呼叫系统设计_第1页
医院病房呼叫系统设计_第2页
医院病房呼叫系统设计_第3页
医院病房呼叫系统设计_第4页
医院病房呼叫系统设计_第5页
资源描述:

《医院病房呼叫系统设计》由会员上传分享,免费在线阅读,更多相关内容在工程资料-天天文库

1、《可编程器件》课程设计报告课题:医院病房呼叫系统设计班级学号学生姓名专业系别指导老师淮阴工学院电子与电气工程学院2014年11月theprovisionsofelectricpowerconstructionengineeringqualitysupervisionandquality...2.4.1.1theunitworksacceptancerateof100%,thequalityevaluationofatotalscoreof95orabove;2.4.1.2regulatedWeldingNDTinspection100%,regulatedweldingapassingrat

2、eof>99%,andweldbeadappearance;2.4.1.3boilerhydraulic15病房呼叫系统设计一、设计目的A)培养理论联系实际的正确设计思想,训练综合运用已经学过的理论和生产实际知识去分析和解决工程实际问题的能力。B)学习复杂的电子系统设计的一般方法,了解和掌握模拟、数字电路等知识解决电子信息方面常见的实际问题的能力,由学生自行设计、自行制作和自行调试。C)进行基本技术技能训练,如基本仪器表的使用,常见元器件的识别、测量、熟练运用的能力,掌握设技资料、手册、标准和规范以及使用仿真软件、实验设备进行调试和数据处理等D)培养学生的创新能力二、设计要求此设计是用于医院

3、病人的紧急呼叫,其设计要求如下:1.当病人按下呼救信号按钮,呼救灯亮,同时显示病人编号,蜂鸣器发出5秒呼救声,等待医护人员来护理。2.按照病人的病情划分出优先级别,有多个病人同时呼救时,系统优先显示最高级别的呼救编号。3.当医护人员处理完最高级别呼救后,按下清零键,系统按优先等级先后显示其他病人编号。三、设计的具体实现1.系统概述theprovisionsofelectricpowerconstructionengineeringqualitysupervisionandquality...2.4.1.1theunitworksacceptancerateof100%,thequalitye

4、valuationofatotalscoreof95orabove;2.4.1.2regulatedWeldingNDTinspection100%,regulatedweldingapassingrateof>99%,andweldbeadappearance;2.4.1.3boilerhydraulic15本设计的指导思想是设计一个当病人紧急呼叫时,产生声光提示,并显示病人编号;然后根据病人病情进行优先级别设置,当有多人呼叫时,病情严重优先;医护人员处理完当前最高级别的呼叫后,清除已处理的最高级别的呼叫信号,系统按优先级别显示其他呼叫病人的编号。由呼叫信号的锁存,CD4532优先编码,由

5、744511译码显示和逻辑控制清除几部分构成,其核心在CD4532优先编码器。方案:病房呼叫系统的逻辑门电路如图1.1所示。它由模拟开关、优先编码器、锁存器、数码管、逻辑门、信号灯、单稳态触发器、蜂鸣器组成。模拟病房号通过优先编码器显示优先级最高的病床号。并且通过锁存器储存起来,按R键将清除已处理的信号。theprovisionsofelectricpowerconstructionengineeringqualitysupervisionandquality...2.4.1.1theunitworksacceptancerateof100%,thequalityevaluationofat

6、otalscoreof95orabove;2.4.1.2regulatedWeldingNDTinspection100%,regulatedweldingapassingrateof>99%,andweldbeadappearance;2.4.1.3boilerhydraulic15呼叫显示模块5秒呼叫模块复位按扭锁存优先显示模块病房图1病房呼叫系统的逻辑方框图由上述图文说明可看出此方案能够对最优先级别的呼叫信号进行处理,编码和译码,最后显示出来。在完成最优先级呼叫信号的处理之后,可以通过医护人员手动复位,从而对其他信号的处理。工作原理:用D锁存器锁存,再用一个8线-3线优先编码器4532

7、对病房号编码,再用译码器4511译出最高级的病房号。当有病房号呼叫时,通过译码器和逻辑门触发(由555构成的单稳触发器)从而控制蜂鸣器发出5秒钟的呼叫声。呼叫信号控制晶闸管从而控制病房报警灯的关亮。若有多个病房同时呼叫,待医护人员处置好最高级的病房后,由人工将系统的复位(手动)。呼叫信号锁存器译码器报警灯复位手动蜂鸣器逻辑门电路工作流程图theprovisionsofelectricpowerconstruc

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。