实验一、实验设备及ispexpertsystem软件使用方法

实验一、实验设备及ispexpertsystem软件使用方法

ID:1619476

大小:58.00 KB

页数:7页

时间:2017-11-12

实验一、实验设备及ispexpertsystem软件使用方法_第1页
实验一、实验设备及ispexpertsystem软件使用方法_第2页
实验一、实验设备及ispexpertsystem软件使用方法_第3页
实验一、实验设备及ispexpertsystem软件使用方法_第4页
实验一、实验设备及ispexpertsystem软件使用方法_第5页
资源描述:

《实验一、实验设备及ispexpertsystem软件使用方法》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、实验一、实验设备及ispEXPERTSystem软件使用方法实验目的:①了解实验设备的组成及ispLSI1032E芯片的功能;②学会ispEXPERTSystem软件使用方法。设计要求:用与门电路验证两个输入逻辑变量a,b和输出逻辑变量c之间的关系。实验原理:实验步骤:1.创建新项目,启动ispEXPERTSystem软件,选择菜单项File-NewProject;在D盘上建立一个保存该项目的文件夹;打开它,保存该项目的名称。2.选择器件,进入项目管理器后,在其左边的窗口可以看到虚拟器件:VirtualDevi

2、ce,选定具体器件ispLSI1032E芯片。3.设计输入,在项目管理器窗口下,选择总菜单项Source—New—Schematic--OK;并保存原理图文件名and.sch4.设计项目编译,该原理图文件and.sch绘制完毕后,双击该窗口右边的Compile项,对其进行编译,如果原理图正确则一个绿色√表示通过,否则为红色的×表示原理图有错误。5.设计项目适配,将以上完成的逻辑设计针对已选定的器件,在EDA的帮助下,形成能写进ispLSI1032E芯片的熔丝图文件and.jed。6.设计项目下载,将完成的逻辑设

3、计“烧录”到具体的ispLSI1032E芯片中去;将试验系统的工作电源连接好,再使用专用下载电缆将实验系统和微机连接好;用鼠标双击项目管理器中的Go按钮进行项目下载。7.设计项目验证,项目下载到实验系统中去以后,可以进行验证其工作原理。实验二、一位全加器的设计实验目的:①学会ispEXPERTSystem软件使用方法;②验证一位全加器的逻辑功能。设计要求:用门电路设计一个一位二进制全加器。两个加数a,b,低位进位c0,本位和为s,向高位进位为co。实验原理:逻辑表达式:s=a⊕b⊕c0co=a*b+(a⊕b)*

4、c0实验步骤:1.创建新项目,启动ispEXPERTSystem软件,选择菜单项File-NewProject;在D盘上建立一个保存该项目的文件夹;打开它,保存该项目的名称。2.选择器件,进入项目管理器后,在其左边的窗口可以看到虚拟器件:VirtualDevice,选定具体器件ispLSI1032E芯片。3.设计输入,在项目管理器窗口下,选择总菜单项Source—New—Schematic--OK;并保存原理图文件名qjq.sch4.设计项目编译,该原理图文件qjq.sch绘制完毕后,双击该窗口右边的Compi

5、le项,对其进行编译,如果原理图正确则一个绿色√表示通过,否则为红色的×表示原理图有错误。5.设计项目适配,将以上完成的逻辑设计针对已选定的器件,在EDA的帮助下,形成能写进ispLSI1032E芯片的熔丝图文件qjq.jed。6.设计项目下载,将完成的逻辑设计“烧录”到具体的ispLSI1032E芯片中去;将试验系统的工作电源连接好,再使用专用下载电缆将实验系统和微机连接好;用鼠标双击项目管理器中的Go按钮进行项目下载。7.设计项目验证,项目下载到实验系统中去以后,可以进行验证其工作原理。实验三、八位寄存器的

6、设计实验目的:①学会ispEXPERTSystem软件使用方法;②验证八位寄存器的设计的逻辑功能。设计要求:具有一个时钟输入端CLK,一个复位端RE,八个并行数据输入端D7,D6,D5,D4,D3,D2,D1,D0和八个数据输出端Q7,Q6,Q5,Q4,Q3,Q2,Q1,Q0,当时钟脉冲到来时,并行数据输入端的数据被送入寄存器中。实验原理:实验步骤:1.创建新项目,启动ispEXPERTSystem软件,选择菜单项File-NewProject;在D盘上建立一个保存该项目的文件夹;打开它,保存该项目的名称。2.

7、选择器件,进入项目管理器后,在其左边的窗口可以看到虚拟器件:VirtualDevice,选定具体器件ispLSI1032E芯片。3.设计输入,在项目管理器窗口下,选择总菜单项Source—New—Schematic--OK;并保存原理图文件名jcq.sch4.设计项目编译,该原理图文件jcq.sch绘制完毕后,双击该窗口右边的Compile项,对其进行编译,如果原理图正确则一个绿色√表示通过,否则为红色的×表示原理图有错误。5.设计项目适配,将以上完成的逻辑设计针对已选定的器件,在EDA的帮助下,形成能写进is

8、pLSI1032E芯片的熔丝图文件jcq.jed。6.设计项目下载,将完成的逻辑设计“烧录”到具体的ispLSI1032E芯片中去;将试验系统的工作电源连接好,再使用专用下载电缆将实验系统和微机连接好;用鼠标双击项目管理器中的Go按钮进行项目下载。7.设计项目验证,项目下载到实验系统中去以后,可以进行验证其工作原理。实验四、3-8译码器的设计实验目的:①学会ispEXPERTSyste

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。