利用拨码开关控制米字管进行特殊字符显示

利用拨码开关控制米字管进行特殊字符显示

ID:15918456

大小:2.04 MB

页数:12页

时间:2018-08-06

利用拨码开关控制米字管进行特殊字符显示_第1页
利用拨码开关控制米字管进行特殊字符显示_第2页
利用拨码开关控制米字管进行特殊字符显示_第3页
利用拨码开关控制米字管进行特殊字符显示_第4页
利用拨码开关控制米字管进行特殊字符显示_第5页
资源描述:

《利用拨码开关控制米字管进行特殊字符显示》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、中北大学课程设计说明书   学生姓名:史平学号: 08060441X26学院:信息商务学院 专业: 电子科学与技术题目:利用拨码开关控制米字管进行特殊字符显示 指导教师:王红亮段俊萍职称:讲师    -11-目录1、课程设计目的……………………………………………………………………22、课程设计内容及要求……………………………………………………………22.1、设计内容………………………………………………………………………22.2、设计要求………………………………………………………………………23、设计方案及实现情况…………

2、…………………………………………………23.1、设计思路………………………………………………………………………23.2、工作原理及框图………………………………………………………………33.3、各模块功能描述………………………………………………………………43.4、仿真结果………………………………………………………………………63.5、试验箱验证情况………………………………………………………………74、课程设计总结……………………………………………………………………95、参考文献…………………………………………………………

3、………………10-11-1、课程设计目的(1)学习操作数字电路设计实验开发系统,掌握米字管的工作原理及应用。(2)掌握组合逻辑电路、时序逻辑电路的设计方法。(3)学习掌握可编程器件设计的全过程。2、课程设计内容和要求2.1、设计内容用VHDL语言编写程序,使键盘控制米字管进行特殊符号的显示。2.2设计要求1.学习掌握拨码开关控制模块、米字管的工作原理及应用;2.熟练掌握VHDL编程语言,编写拨码开关控制模块的控制逻辑;3.仿真所编写的程序,模拟验证所编写的模块功能;4.下载程序到芯片中,硬件验证所设置的功能,能够实现特殊

4、字符显示;5.整理设计内容,编写设计说明书。 3、 设计方案及实现情况3.1、设计思路(1)相关知识:米字管是17段的数码管,但是此次的课程设计的题目是进行十六进制数字的显示,所以并不需要数码管DP,即使用十六根数码管。米字管的工作原理以及使用方法都和七段数码管类似,但所能显示的内容较七段数码管要丰富.米字管的引脚图如图1。-11-图1米字管的引脚图(2)基本思路:采用模块化的设计思想,对于不同的功能用不同的程序模块来实现。各个模块之间保持相对独立,这样有利于针对不同的功能模块进行调试,以便增加整个系统的成功率;同时还为

5、功能改进和完善提供了方便,使其他无关模块不受影响。基于这种设计思想,对本次课程设计题目分为以下两个模块:拨码开关控制模块,控制米自管显示模块。控制模块由拨码开关组成,显示模块由米字管组成。两模块分别连接于FPGA芯片上。编写相应的程序下载至FPGA芯片上,使其完成相应的功能。3.2、工作原理及框图(1)工作原理:首先编写程序,实现使8个拨码开关工作,当其中任意一个为高电平时,控制米字管点亮相应的数码管,分别显示8个特殊字符。用MaxplusII软件仿真各个模块以及总模块。仿真无误后选择一个拨码开关连接至米字管的选通端,选

6、择一个米字管工作。将米字管的17段数码管的输入端以及8个拨码开关按照在MaxplusII软件中的管脚分配,分别将其接至CPLD芯片上,将程序下载至芯片,最后使程序控制芯片,完成相应的特殊字符的显示。(2)逻辑功能表如表1-11-特殊字符拨码开关显示字符的逻辑段“+”Kin01001010100000000“-“Kin10001000100000000“*”Kin21110111000000000“/”Kin30100100000000000“>”Kin41001010100110000“<”Kin50010100000

7、000000“П”Kin60111000100000000“米”Kin71111111100000000表1逻辑功能表3.3、各模块功能描述本实验共分为两个模块,分别是控制模块和显示模块。(1)①拨码开关控制模块:由拨码开关组成,负责控制哪一个特殊字符的显示。当某一个拨码开关处于高电平状态时,与之相应的特殊字符即显示在米字管上。②拨码开关控制模块框图如图2。图2拨码开关控制模块框图③拨码开关控制模块的VHDL程序:libraryieee;useieee.std_logic_1164.all;useieee.std_log

8、ic_arith.all;useieee.std_logic_unsigned.all;entityboma2isport(a:instd_logic_vector(7downto0);-11-q:outstd_logic_vector(3downto0));endboma2;architecturertlofbom

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。