基于eda技术的信号发生器设计分析-毕业论文

基于eda技术的信号发生器设计分析-毕业论文

ID:14600067

大小:136.33 KB

页数:26页

时间:2018-07-29

上传者:xinshengwencai
基于eda技术的信号发生器设计分析-毕业论文_第1页
基于eda技术的信号发生器设计分析-毕业论文_第2页
基于eda技术的信号发生器设计分析-毕业论文_第3页
基于eda技术的信号发生器设计分析-毕业论文_第4页
基于eda技术的信号发生器设计分析-毕业论文_第5页
资源描述:

《基于eda技术的信号发生器设计分析-毕业论文》由会员上传分享,免费在线阅读,更多相关内容在学术论文-天天文库

基于EDA技术的信号发生器设计分析申请人:学科(专业):电力系统及其自动化指导教师:2017年1月26日III 摘要网络教育学院毕业设计(论文)任务书一、毕业设计(论文)题目基于EDA技术的信号发生器设计二、毕业设计(论文)工作自2017年1月30日日起至年月日止三、毕业设计(论文)基本要求:毕业论文是对三年来学业的综合考核,是培养学生综合运使用所学知识,在实践过程中发现问题,分析和解决问题,提高学生综合素质的一个重要环节,也是对学生实际工作能力的系统训练和考察过程。1.时间安排按照进程要求,抓紧时间,遇到问题主动和老师联系。1)根据本人兴趣和研究方向选择论文题目,提出选题的初步设想阅读相关书籍、文章,做读书笔记,搜集、整理与论文有关的资料。与指导教师联系,在指导教师指导帮助下确定论文题目。2)构思论文框架,编写论文提纲。扩充有关的信息资料,调查研究,撰写论文初稿。论文初稿完成后,将电子版文初稿提交给指导教师审阅。3)指导教师对论文初稿提出具体的修改意见,学生根据导师指导意见修改初稿。交来二稿,交指导老师审阅。4)根据指导老师意见修改二稿,其间若有问题可进行三稿甚至四稿的修改。2.在撰写毕业论文过程中要求态度端正,认真对待。1)论文写作可以在参考、借鉴若干篇文献资料的基础上进行,但不允许抄袭他人成果。2) 坚持理论联系实际的原则。论文选题要切合实际,充分发挥自身优势,应根据自己平时学习、工作较感兴趣的问题来选择题目。3)  论文结构设计合理,论述清楚,尽可能应有一定的个人独立见解。4)写作要求符合规范,保证质量,按时完成毕业论文。19 摘要摘 要现代EDA技术是当今电子设计技术的最新发展方向,具有极大的灵活性与通用性、测试硬件方便快捷、系统开发快速、降低产品成本、技术维护简单、工作稳定性好等特点。本文着重介绍了基于EDA技术的正弦信号发生器电路的设计方案、程序设计输入、编译和仿真等操作,比较完整的说明了正弦信号发生器的设计过程、功能和正弦信号发生器电路的设计过程。设计在QuartusⅡ环境下,设计的一种调频信号发生器。EDA 技术是以大规模可编程逻辑器件为设计载体,以硬件描述语言为系统逻辑描述的主要表达方式,以计算机、大规模可编程逻辑器件的开发软件及实验开发系统为设计工具,通过有关开发软件,自动完成用软件的方式设计的电子系统到硬件系统实现,最终形成集成电子系统或专用集成芯片的一门新技术。介绍一种基于DDS 原理,并采用FPGA 芯片和VHDL 开发语言设计的任意函数调频的任意波形信号发生器,给出了设计方案。关键词:EDA技术;正弦信号发生器;FPGA;VHDL;quartusⅡ论文类型:C19 目录目录1绪论12EDA技术介绍32.1EDA介绍32.2VHDL基本介绍32.3设计工具简介43设计流程33.1设计思想及原理图33.2正弦波、三角波、方波和锯齿波的实现33.2.1正弦波设计33.2.2正弦波的仿真53.2.3三角波设计53.2.4三角波的仿真63.2.5方波设计63.2.6方波的仿真83.2.7锯齿波设计83.2.8锯齿波的仿真93.3各个控制单元的实现103.3.1频率控制单元103.3.2波形输出控制单元113.4硬件测试124结论与展望1致谢3参考文献4毕业论文知识产权权属声明6119 西安交通大学网络教育学院论文1绪论简易多功能信号发生器是信号发生器的一种,在生产实践和科研领域中有着广泛的应使用。在研制、生产、测试和维修各种电子元件、部件以及整机设备时,都需要有信号源,由发生器产生不同频率不同波形的电压、电流信号并加到被测器件或设备上,使用其他仪器观察、测量被测仪器的输出响应,以分析确定发生器们的性能参数。信号发生器是电子测量领域中最基本、应使用最广泛的一类电子仪器。发生器可以产生多种波形信号,如正弦波,三角波,方波和锯齿波等,因而广泛使用于通信、雷达、导航不同领域。在本设计中发生器能够产生多种波形,如正弦波,三角波,方波和锯齿波等,并能实现对各种波频率和幅度的改变。正因为其在生活中应使用的重要性,人们发生器做了大量的研究,总结出了许多实现方式。可以基于FPGA、VHDL、单片机、DOS技能、数字电路等多种方法实现。本设计是采使用VHDL来实现的简易多功能信号发生器。发生器能产生正弦波,三角波,方波和锯齿波。且对各种波形的要求如下:(1)根据按键选择不同的波形(实现正弦波,三角波,方波和锯齿波);(2)各波形的频率范围为100Hz-20KHz;(3)各波形频率可调(通过按键控制频率的变化,步进值为500Hz);(4)使使用LED数码管实时显示输出信号波形的频率值;(5)使用按键控制实现输出信号的幅度调节(幅度调节为2.5V和6V)。19 西安交通大学网络教育学院论文19 西安交通大学网络教育学院论文1EDA技术介绍1.1EDA介绍EDA是电子设计自动化(ElectronicDesignAutoMation)缩写。EDA技术是以计算机为工具,根据硬件描述语言HDL(HardwareDescriptionlanguage)完成的设计文件,可以自动地完成逻辑编译、化简、分割、综合及优化、布局布线、仿真以及对于特定目标芯片的适配编译和编程下载等工作。硬件描述语言HDL是相对于一般的计算机软件语言,如:C、PASCAL是常用的。HDL语言使使用与设计硬件电子系统的计算机语言,发生器能描述电子系统的逻辑功能、电路结构和连接方式。设计者可利使用HDL程序来描述所希望的电路系统,规定器件结构特征和电路的行为方式;然后可以利使用综合器和适配器将此程序编程能控制FPGA和CPLD内部结构,在有些运行过程中实现相应逻辑功能的的门级或更底层的结构网表文件或下载文件。目前,就FPGA/CPLD开发来说,比较常使用和流行的HDL主要有ABEL-HDL、AHDL和VHDL[1]。现在数字逻辑电路实验都可以在计算机上利使用EDA(ElectronicDesignAutoMatic—电子设计自动化)软件进行设计、仿真,只有少量外部配件还不能在计算机上进行仿真。因此,在实验前期阶段,即实验预习阶段的主要应该使用工具是EDA软件,利用EDA软件可以设计、仿真实验课题,进行虚拟实验。通过虚拟实验使实验者在进入真实实验前就能对预做的实验有相当的了解,甚至可以预测到实验的结果。这样在实际做实验时,可以把许多设计型实验的难度降低,同时能有更多的时间让实验者动手做实验,研究过程,提高实验效率。当前数字电路设计已由计算机辅助设计进入到以计算机为主的设计时代。1.2VHDL基本介绍VHDL是一种主要的硬件描述语言之一,硬件描述语言(HDL)是各种描述方法中最能体现EDA优越性的描述方法。描述语言,实际上就是一种描述工具,其描述的对象就是该设计电路系统的逻辑功能,实现该功能的算法,选使用的电路结构以及其他各种约束条件等。通常要求HDL既能描述系统的行为,又能描述系统的结构。VHDL语言是美国国防部与20世纪80年代后期,出于军事工业需要开发的。1984年VHDL被IEEE确定为标准的硬件描述语言。1993年IEEE对VHDL进行了修正,增加了部分新的VHDL命令与属性,增强了对系统的描述能力。19 西安交通大学网络教育学院论文4片VHDL结构芯片之间的互连线较少,需要外连接口时要通过软线连接板上的插座,因此外接高速设备(例如SATAII和PCIE)时信号完整性将受到考验。2、S2C公司的验证平台TAILogicModule,其最新产品是V5TAILogicModule,包含两片XC5VLX330FF1760。发生器通过多片板卡堆叠的方式来满足更大规模的设计,堆叠高度的增加对系统稳定性和信号完整性都有很大影响。VHDL上的6个DDR2的SODIMM接口以及8个MEGArray接口。VHDL抽象描述强,支持硬件的设计,验证,综合和测试。VHDL特别能在多级别上对同一逻辑功能进行描述。VHDL的基本结构包含一个实体和一个结构体,而完整的VHDL结构还包括配置,程序包与库。各种硬件描述语言中,VHDL的描述能力最强,因此运使用VHDL进行复杂电路设计时,往往采使用自上向下结构化的设计方法。1.1设计工具简介QuartusII是Altera公司的综合性PLD开发软件,支持原理图、VHDL、VerilogHDL以及AHDL(AlteraHardwareDescriptionLanguage)等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程。QuartusII支持Altera的IP核,包含了LPM/MegaFunction宏功能模块库,使使用户可以充分利使用成熟的模块,简化了设计的复杂性、加快了设计速度。对第三方EDA工具的良好支持也使使用户可以在设计流程的各个阶段使使用熟悉的第三放EDA工具。此外,QuartusII通过和DSPBuilder工具与Matlab/SiMulink相结合,可以方便地实现各种DSP应使用系统;支持Altera的片上可编程系统(SOPC)开发,集系统级设计、嵌入式软件开发、可编程逻辑设计于一体,是一种综合性的开发平台。MaxplusII作为Altera的上一代PLD设计软件,由于其出色的易使用性而得到了广泛的应使用。目前Altera已经停止了对MaxplusII的更新支持,QuartusII与之相比不仅仅是支持器件类型的丰富和图形界面的改变。Altera19 西安交通大学网络教育学院论文在QuartusII中包含了许多诸如SignalTapII、ChipEditor和RTLViewer的设计辅助工具,集成了SOPC和HardCopy设计流程,并且继承了MaxplusII友好的图形界面及简便的使使用方法就是从系统总体要求出发,在顶层进行功能结构的划分和设计,在一级进行仿真与纠错,并用硬件语言对高层次的系统行为进行描述。AlteraQuartusII作为一种可编程逻辑的设计环境,对于其强大的设计能力和直观易使用的接口,现在越来越受到数字系统设计者的欢迎。Altera的QuartusII可编程逻辑软件属于第四代PLD开发平台。该平台支持一个工作组环境下的设计要求,还包括支持基于Internet的协作设计。Quartus平台与Cadence、ExeMplarLogic、MentorGraphics、Synopsys和Synplicity等EDA供应商的开发工具相兼容。改进了软件的LogicLock模块设计功能,增添了FastFit编译选项,推进了网络编辑性能,而且提升了调试能力。19 西安交通大学网络教育学院论文19 西安交通大学网络教育学院论文1设计流程1.1设计思想及原理图基于VHDL语言设计一个简易多功能信号发生器,经过选入输入信号,能够输出正弦波、三角波、方波和锯齿波四种波形信号。信号发作器的控制模块能够运用数据选择器完成,有四种信号的信号选择能够运用数据选择器完成。同时本设计使运用原理图的办法,对正弦波、三角波、方波与锯齿波和数据选择器元件停止调运用。简易多功能信号发生器的原理图如下:图31简易多功能信号发生器原理图原理图本设计的主题思想是各个模块分别产生相应的波形,再通过一个4选1数据选择器输出相应的波形。通过其他按键控制波形的频率和幅度的变化。在系统运行时进行验证。这样有利于早期发现结构设计中的失误,避免设计工作耽误,同时减少了逻辑功能的仿真量,提高了设计的一次性成功率。19 西安交通大学网络教育学院论文1.1正弦波、三角波、方波和锯齿波的实现1.1.1正弦波设计正弦波的产生思想是将对模拟波形采样后的编码存入定义好的ROM中,再根据时钟循环的将这些编码顺序输出,在输出端将经过数模转换器转换后的模拟信号接入示波器即可显示正弦波形。产生正弦波的VHDL代码如下:libraryieee;useieee.std_logic_1164.all;entitysin2isport(clock,sel:instd_logic;dout4:outintegerrange0to255);endsin2;architecturebhvofsin2istypeMeM_typeisarray(0to63)ofintegerrange0to255;constantMeM:MeM_type:=(255,254,252,249,245,239,233,225,217,207,197,186,174,162,150,137,124,112,99,87,75,64,53,43,34,26,19,13,8,4,1,0,0,1,4,8,13,19,26,34,43,53,64,75,87,99,112,124,137,150,162,174,186,197,207,217,225,233,239,245,249,252,254,255);signaladdress:integerrange0to63;beginprocess(clock)beginifclock'eventandclock='1'thenifaddress>63thenaddress<=0;elseifsel='1'thenaddress<=address+1;dout4<=(MeM(address))/2;elseaddress<=address+1;dout4<=MeM(address);19 西安交通大学网络教育学院论文endif;endif;endif;endprocess;endbhv;1.1.1正弦波的仿真在QuartusII软件输入上述代码,再通过编译和时序仿真,可得到如下的仿真波形。图3-2正弦波时序仿真图上图中的输出制式模拟信号各采样点的数字编码,可是没有经过数模转换,输出结果就如图中所示。这时当将程序下载到硬件后,在示波器上就可以显示正弦波形了。1.1.2三角波设计三角波的产生思想是将对模拟波形采样后的编码存入定义好的ROM中,再根据时钟循环的将这些编码顺序输出,在输出端将经过数模转换器转换后的模拟信号接入示波器即可显示三角波形。产生三角波的VHDL代码如下:libraryieee;useieee.std_logic_1164.all;entitysanjiaoisport(clock,sel:instd_logic;dout3:outintegerrange0to255);endsanjiao;architecturebhvofsanjiaoistypeMeM_typeisarray(0to63)ofintegerrange0to255;constantMeM:MeM_type:=(0,8,16,24,32,40,48,56,64,72,80,88,96,104,112,120,128,136,144,152,160,168,176,184,19 西安交通大学网络教育学院论文192,200,208,216,224,232,240,248,255,248,240,232,224,216,208,200,192,184,176,168,160,152,144,136,128,120,112,104,96,88,80,72,64,56,48,40,32,24,16,8);signaladdress:integerrange0to63;beginprocess(clock)beginifclock'eventandclock='1'thenifaddress>63thenaddress<=0;elseifsel='1'thenaddress<=address+1;dout3<=(MeM(address))/2;elseaddress<=address+1;dout3<=MeM(address);endif;endif;endif;endprocess;endbhv;1.1.1三角波的仿真在QuartusII软件输入上述代码,再通过编译和时序仿真,可得到如下的仿真波形。图3-3三角波仿真图上图中的输出制式模拟信号各采样点的数字编码,由于没有经过数模转换,19 西安交通大学网络教育学院论文输出结果就如图中所示。程序下载到硬件后,在示波器上就可以显示三角波形了。1.1.1方波设计方波波的产生思想是将对模拟波形采样后的编码存入定义好的ROM中,再根据时钟循环的将这些编码顺序输出,发生器输出端将经过数模转换器转换后的模拟信号接入示波器即可显示方波波形。产生方波的VHDL代码如下:libraryieee;useieee.std_logic_1164.all;entityfangboisport(clock,sel:instd_logic;dout1:outintegerrange0to255);endfangbo;architecturebhvoffangboistypeMeM_typeisarray(0to63)ofintegerrange0to255;constantMeM:MeM_type:=(255,255,255,255,255,255,255,255,255,255,255,255,255,255,255,255,255,255,255,255,255,255,255,255,255,255,255,255,255,255,255,255,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0);signaladdress:integerrange0to63;beginprocess(clock)beginifclock'eventandclock='1'thenifaddress>63thenaddress<=0;elseifsel='1'thenaddress<=address+1;dout1<=(MeM(address))/2;elseaddress<=address+1;dout1<=MeM(address);endif;19 西安交通大学网络教育学院论文endif;endif;endprocess;endbhv;1.1.1方波的仿真在QuartusII软件输入上述代码,再通过编译和时序仿真,就可得到如下的仿真波形。图3-4三角波仿真图上图中的输出制式模拟信号各采样点的数字编码,设备还没有经过数模转换,输出结果就如图中所示。1.1.2锯齿波设计锯齿波的产生是将对模拟波形采样后的编码存入定义好的ROM中,再根据时钟循环的将这些编码顺序输出,在输出端将经过数模转换器转换后的模拟信号接入示波器即可显示锯齿波形。产生锯齿波的VHDL代码如下:libraryieee;useieee.std_logic_1164.all;entityjuchiisport(clock,sel:instd_logic;dout2:outintegerrange0to255);endjuchi;architecturebhvofjuchiistypeMeM_typeisarray(0to63)ofintegerrange0to255;constantMeM:MeM_type:=(0,4,8,12,16,20,24,28,32,36,40,44,48,52,56,60,64,68,72,76,80,84,88,92,96,100,104,108,112,116,120,124,128,132,136,140,144,148,152,156,160,164,168,172,176,180,184,188,192,198,200,204,208,212,216,220,19 西安交通大学网络教育学院论文224,228,234,238,242,246,250,255);signaladdress:integerrange0to63;beginprocess(clock)beginifclock'eventandclock='1'thenifaddress>63thenaddress<=0;elseifsel='1'thenaddress<=address+1;dout2<=(MeM(address))/2;elseaddress<=address+1;dout2<=MeM(address);endif;endif;endif;endprocess;endbhv;1.1.1锯齿波的仿真在QuartusII软件输入上述代码,再通过编译和时序仿真,可得到如下的仿真波形。图3-6正弦波未分频时仿真图上图中的输出制式模拟信号各采样点的数字编码,由于没有经过数模转换,输出结果就如图中所示。当将程序下载到硬件后,在示波器上就可以显示锯齿波波形了。19 西安交通大学网络教育学院论文1.1各个控制单元的实现1.1.1频率控制单元频率控制单元包括按键输入识别模块、分频数产生模块和分频模块。我在按键输入识别模块中共有4个输入端。发生器其中一个时钟输入端,以一个频率调子卡的结构每块子卡上面包含5片FPGA芯片,其中四片XC5VLX330FF1760承载主要的逻辑容量,控制芯片XC5VLX110FF1760容量较小,主要起控制和连接四个大容量FPGA的作用。每个FPGA芯片都引出接口到高速接插件上面,A和B预留DDR2和DDR3接口。通过单独配置ATX电源,每块子卡都能够单独作为一个验证系统工作。在接口控制器方面,配置日常所需的各种接口的逻辑模块,包括:DDR2/3控制器、SPI、UART、I2C、LPC、NANDFLASH等标准的控制器。同时,预留SATAII,PCIEX8等高速IO接口。这样做的目的是使用户能够专心做好集成电路核心逻辑模块的工作,外围接口不需要耗费巨大人力物力去验证正确性,大大降低了验证难度和功能验证的工作量,极大缩短了SOC类芯片的开发周期。在测试软件方面,设计一个用于管脚分配、时钟分配及程序下载专用的测试软件,免除了日常调试工作中手工输入造成的巨大工作量及由此而产生的各种错误。每个芯片的管脚都在1000条以上,5个芯片就达到5000条,如果纯靠手工输入,不但工作量非常大,也会造成管脚分配错误,给调试带来极大的困难,这是多次调试经验的总结。节输入端,一个使系统输出信号波形的频率为最大的控制输入端和一个使系统输出的信号波形的频率为最小的控制输入端。设计要求输出信号波形的频率在每按一次相应的按键时,就增加或减少500Hz。而实验室的硬件设备上的按键都是拨码是按键,即按键按下后一直有效,这显然不能满足要求。于是按键输入识别模块中使用如下的代码实现按键没按一次都能有效的功能。ifbutton0='0'andbutton1='1'thenifcnt=40thencnt<=0;elsecnt<=cnt+1;endif;elsifbutton0='1'andbutton1='0'thenifcnt=40thencnt<=0;else19 西安交通大学网络教育学院论文cnt<=cnt+1;endif;以上代码实现的只是频率增长的功能,同理就可以实现频率减小的功能。当按下按键输入识别模块中分别使整个系统输出最大和最小频率的波形的控制输入端时,在按相应的使频率增减的按键则实现频率从最大或最小开始增加或减少的功能。在分频数产生模块中有一个输入端接收从按键输入识别模块中输出地对频率的控制信号的输入端,一个分频数输出端,四个相应的接数码管以显示系统输出信号的频率的输出端。当分频数产生模块的输入端接收从按键输入识别模块中输出地对频率的控制信号后,便产生相应的分频数送到输出端,同时将与分频数相应频率送到与数码管相接的输出端。其VHDL代码见设计的附录。我们在分频模块中有一个系统时钟输入端,有一个分频数输入端和一个频率输出端。分频器的功能主要是根据分频数产生输出频率。1.1.1波形输出控制单元波形输出控制单元中只包括一个数据选择器模块。其器件图如所示图3-7数据选择器模块在该模块为4选1的数据选择器,包括4个数据输入端,一个数据选择输出和一个数据输出端。其功能是根据数据选择输入端输入的数据来选择相应的数据送到输出端。从而实现数据的选择输出。1.2硬件测试设计是简易多功能信号发生器,其总共有六个输入端和六只输出端。根据引脚所锁定图将系统中的各个输入输出端口锁定到合适的引脚上。需要注意的是本系统使用到了数码管显示,所以应该选定实验箱的模式6。另外,本实验输入的时钟频率是12MHz。当引脚锁定完毕后,将程序下载到试验箱中,连好示波器,在输入端输入相应的值即可得到相应的输出信号波形。在clk端输入12MHz的时钟信号,当原19 西安交通大学网络教育学院论文理图中的bx[1..0]输入“00”时得到的是方波波形。改变sel的值可以该变输出信号波形的幅值。按频率控制单元中介绍的方法可以实现波形频率的改变。在clk端输入12MHz的时钟信号,当原理图中的bx[1..0]输入“01”时得到的是锯齿波波形。改变sel的值可以该变输出信号波形的幅值。按频率控制单元中介绍的方法可以实现波形频率的改变。在clk端输入12MHz的时钟信号,原理图中的bx[1..0]输入“11”时得到的是正弦波波形,改变sel的值可以该变输出信号波形的幅值。按频率控制单元中介绍的方法可以实现波形频率的改变。119 西安交通大学网络教育学院论文1结论与展望EDA技术是当前数字系统设计领域比较火热的一种工具,发生器是可以大大缩短设计需要的时间,降低成本的同时也提高了系统的稳定性。使使用VHDL语言描述硬件系统使得EDA技术有了更为广阔的空间。本设计使使用了基于Altera公司的开发软件工具QuartusII,本系统即实现了可以输出三种波形的简易多功能波形发生器,仿真结果证明,该设计正确有效,也可以作为制作实物的理论依据。对于EDA技QuartusII进行了系统的设计和仿真。简易波形发生器在生活中各个场合都有着大量的使使用术我一直都有着浓厚的兴趣,借做课设的机会,认真的研究了一下这么科学。发现EDA技术比我们想象中的要有很大的难度。里面有很多的思想来源于信息电子技术辑算法的设计,需要有很强的C语言编程功底。学习一门知识要从最基本的体系构架开始,倘若一开始就从顶层设计入手,就会造成很多基本原理、基本概念上的偏差,甚里面的基本知识,包括电路的概念以及寄存器传送的基本知识。VHDL语言与C语言有很大的不同,但是C语言的编程思想也可以移植到VHDL语言当中来,尤其是一些逻至会拖延设计的时间,事倍功半。虽然可设完成了,但我意识到,我对于这门学科只是停留在入门的阶段,想要有更大的发展,要深入的研究,还要更多的努力和实践。19 西安交通大学网络教育学院论文19 致谢致谢我要感谢我的指导教师。老师虽身负教学重任,仍抽出时间辅导我们。这篇论文更倾注了他的大量心血。大到篇章布局的偏颇,小到语句格式的瑕疵,都一一予以指出。同时,我要感谢西安网络学院所有给我上过课老师,是他们传授给我方方面面的知识,拓宽了我的知识面,培养了我的功底,对论文的完成不无裨益。我还要感谢学院的各位工作人员,他们细致的工作使我和同学们的学习和生活井然有序。没有他们就没有我,我的点滴成就都来自他们。由于我的水平有限,恳请各位老师和同学批评指正!119 参考文献[1]潘松,黄继业.EDA技术实使用教程[M].北京:科学出版社,2002年版.[2]甘历.VHDL应使用与开发实际[M].北京:科学出版社,2003.[3]孙延鹏,张芝贤.VHDL与可编程逻辑器件应使用[M].航空工业出版社,2006.[4]赵明富,李立军,石新锋,沈献博.EDA技术基础[M].北京大学出版社,2007.[5]黄仁欣.EDA技术实使用教程[M].清华大学出版社,2006(25).[6]邓蔼仙.电子工程设计中EDA技术的作用及应用探讨[J].电子世界,2016年第六期[7]张金玲;于春雨.基于EDA的电子技术开放性实验改革与实践[J].黑龙江教育(高教研究与评估)2016年第三期[8]符继征.浅析EDA技术在数字电子技术实验中的应用[J].赤峰学院学报(自然科学版),2016年第十六期[9]江燕.电子工程设计的EDA技术分析[J].电子技术与软件工程,2016年第六期[10]李劲松.数字电子技术的发展现状分析[M].电子世界2016(5)[11]苏神保.基于Proteus的测频仪设计与仿真[M].仪器仪表用户2016(45)[12]于卫卫;王国永;王剑.EDA技术在数字电子技术试验中的应用[J].数字技术与应用,2016年第二期[13]郭庆杰;杨丰旭;安玉;徐逊.EDA技术在电子设计中的运用分析[J].电子技术与软件工程,2016年第五期19

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。
关闭