循环冗余校验模块的fpga设计

循环冗余校验模块的fpga设计

ID:14284828

大小:216.50 KB

页数:16页

时间:2018-07-27

循环冗余校验模块的fpga设计_第1页
循环冗余校验模块的fpga设计_第2页
循环冗余校验模块的fpga设计_第3页
循环冗余校验模块的fpga设计_第4页
循环冗余校验模块的fpga设计_第5页
资源描述:

《循环冗余校验模块的fpga设计》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、目录1引言32CRC的研究状况33CRC的特征和基本工作原理43.1CRC的特征和码集选择的原则43.2CRC生成原理43.3CRC模块结构图54CRC生成器和校验器的设计54.1VHDL硬件描述语言设计CRC51)CRC编码解码程序52)显示验证模块85CRC生成器和校验器的硬件实现135.1利用QuartusⅡ进行调试135.2检验CRC生成器和校验器146CRC的发展方向14结束语14参考文献15循环冗余校验模块的FPGA设计摘要:本文首先介绍了循环冗余校验码(CRC,cyclicredundancy

2、check)的基本原理,包括生成和校验两个部分。其中模2除法是基本原理中最为核心的,本文做了着重说明。其次,还重点分析了其硬件电路的实现方法,并在此基础上基于FPGA用VHDL语言设计了编程程序。本设计将实现模块分成两部分进行介绍:一部分是CRC生成校验模块,另一部分是显示校验模块。CRC生成校验模块是CRC生成模块和校验模块通过握手信号连接起来的,这种方法在很大程度上简化了顶层文件,而显示校验模块主要功能是将发送数据以及生成数据用十六进制数表示,并且通过数码管显示出来,以便于检验程序是否正确。最后,本文介

3、绍如何利用QuartusⅡ分别对CRC生成模块和CRC校验模块进行波形仿真,并对调试验证。关键词:循环冗余校验码;模2除法;VHDL语言;QuartusⅡTheDesignofCRCBasedonFPGAZhangYun,TheCollegeofPhysicsandElectronicInformationAbstract:ThispapernotonlyintroducesbasicprincipleandcalculatingmethodofCRC,includingproductionprincipl

4、eandverificationprinciple,whichthemostimportantprincipleofismodule—2division,butalsopresentsahardwareimplementationofCRConFPGAwiththeVHDLlanguageandhowtodebugprogrambyQuartusII.Therealizationmoduledividesintotwoparts:apartistheCRCcodedecodingmodule,andanot

5、herpartisthedemonstrationandverificationmodule.TheCRCcodedecodingmoduleistheCRCproductionmoduleandtheverificationmodulecombinedthroughthehandshakesignal,sothattheprogrammingprocedureissimplifiedtoagreatextent,whilethemainfunctionofthedemonstrationandverifi

6、cationmoduleistotransmitthedataaswellastheproductiondataindicatedwiththesexadecimalnumber,andtodemonstratethroughthenixietube,whichisadvantageousfortesting.Inthedebuggingprocess,separatelycarriesontheprofilesimulationtotheCRCproductionmoduleandtheCRCverifi

7、cationmodulebyQuartusII.Keywords:CRC,module—2division,VHDL,QuartusII.1引言数字通信要求传输过程中所造成的数字差错足够低。引起传输差错的根本原因是信道内存在噪声及信道传输特性不理想造成的码间串扰。为了尽可能地提供通信的可靠性,就需要采用信道编码技术,对可能或已经出现的差错进行控制,CRC码就是其中的一种编码技术。2CRC的研究状况CRC基本原理简单,实现硬件电路简单,且效果较好,目前得到广泛的运用下面是一些常见的标准CRC。  名称生成多项

8、式简记式*应用举例CRC-4x+x+13ITUG.704CRC-12x+x+x+x+1CRC-16x+x+x+18005IBMSDLCCRC-ITU**x+x+x+11021ISOHDLC,ITUX.25,V.34/V.41/V.42,PPP-FCSCRC-32x+x+x+...+x+x+104C11DB7ZIP,RAR,IEEE802LAN/FDDI,IEEE1394,PPP-FCSCRC-32cx+x+x+.

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。