基于eda的智能函数发生器课程设计说明书--学位论文.doc

基于eda的智能函数发生器课程设计说明书--学位论文.doc

ID:14244631

大小:4.22 MB

页数:22页

时间:2018-07-27

基于eda的智能函数发生器课程设计说明书--学位论文.doc_第1页
基于eda的智能函数发生器课程设计说明书--学位论文.doc_第2页
基于eda的智能函数发生器课程设计说明书--学位论文.doc_第3页
基于eda的智能函数发生器课程设计说明书--学位论文.doc_第4页
基于eda的智能函数发生器课程设计说明书--学位论文.doc_第5页
资源描述:

《基于eda的智能函数发生器课程设计说明书--学位论文.doc》由会员上传分享,免费在线阅读,更多相关内容在学术论文-天天文库

1、邮电与信息工程学院课程设计说明书课题名称:电子设计自动化(EDA)课程设计一、课程设计的任务的基本要求:1.设计目的:(1)学习使用EDA设计软件QuartusⅡ,了解电路描述、综合、模拟过程。(2)掌握使用EDA工具设计数字系统的设计思路和设计方法。2.设计要求:(1)以AlteraDE2开发系统为验证平台,根据设计题目要求完成设计输入、综合、仿真与验证工作。(2)提供设计报告,报告要求包括以下内容:设计任务书、题目、摘要(中英文)、正文、参考文献。其中正文应包含:设计思路、设计输入文件、设计与调试过程、

2、仿真与验证结果和设计结论。3.设计题目:(1)设计智能函数发生器,要求实现正弦波、方波、三角波、递增、递减斜波和阶梯波六种波形,所产生的波形频率用数码管显示。二、进度安排:18周(2009.6.8-2009.6.12):周一:选择课程设计题目,明确课程设计目的和任务。周二、周三:查看参考资料。周四、周五:原理图与程序设计。19周(2009.6.15-2008.6.19):周一、周二:上机调试原理图与程序。周三:整理所有任务资料,答辩。周四、周五:撰写课程设计报告。三、应收集资料及主要参考文献:(1)Alte

3、raDE2UserManual(2)基于QuartusII的FPGA/CPLD数字系统设计实例,周润景等,电子工业出版社(3)EDA技术实用教程(第二版),潘松等,科学出版社四、课程设计摘要(中文):函数发生器是一种很常用的器件,在很多情况下,最常用的波形是正弦波,方波,三角波,递增,递减斜波和阶梯波六种。虽然用模拟电子线路很容易得到这些波形,但是这种方法会使硬件线路较为繁琐,而且模拟线路会受到干扰。本设计采用综合设计方法使用FPGA来实现智能函数发生器,它由六个波形产生模块及波形选择输出模块组成,波形选择

4、模块的输出q接在D/A转换的数据端,就可以在D/A输出端得到想要的其中之一的任一种光滑的波形五、课程设计摘要(英文):Thefunctiongeneratorisonekindofverycommonlyusedcomponent,Inverymanysituations,Themostcommonlyusedprofileisasinewave,Square-wave,Trianglewave,Increasesprogressively,Decreasesprogressivelythewavetilt

5、andthestepsandladderswavesixkinds.Althoughisveryeasywiththesimulationelectroniccircuittoobtaintheseprofiles,Butthismethodcancausethehardwarelinetobetedious,Moreovertheartificiallinecanreceivethedisturbance.ThisdesignusesFPGAtorealizetheintelligencefunction

6、generator,Ithasthemoduleandtheprofilechoiceoutputmodulebysixprofilesiscomposed,ProfilechoicemoduleoutputqmeetsinD/Atransformsdataend,MayintheD/Aout-portobtainsoneofthemwhichwantsnomatterwhatonekindofsmoothprofile.正文一、设计思路1.基于QUASTUSII平台,采用VHDL语言,设计一波形信号发生器

7、。首先根据对各波形的幅度进行采样,获得各波形的波形数据表,使用FPGA来实现智能函数发生器,它由六个波形产生模块及波形选择输出模块组成,然后FPGA根据输入的时钟(频率可根据要求可变)作为地址信号,从FPGA数据线上输出相应的波形数据,再送入实验板上的D/A转换芯片进行转换为模拟信号,最后送入滤波电路滤波后输出,就可以得到想要的任意其中的一个波形二、设计输入文件与调试分频libraryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all

8、;entityfenpinisport(clk:instd_logic;clkfen:outstd_logic);endfenpin;architecturefenpinoffenpinissignalclk_mid:std_logic;beginprocess(clk)variabledata:integerrange0to99;beginifclk'eventandclk='1'thenifdata=99th

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。