现代数字系统设计

现代数字系统设计

ID:14021234

大小:459.00 KB

页数:20页

时间:2018-07-25

现代数字系统设计_第1页
现代数字系统设计_第2页
现代数字系统设计_第3页
现代数字系统设计_第4页
现代数字系统设计_第5页
资源描述:

《现代数字系统设计》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、河南科技大学课程设计说明书课程名称__现代数字系统设计____题目一、十字路口交通管理器的设计二、多功能数字电子钟的设计学院___电子信息工程学院____班级__研1004班专业控制理论与控制工程学生姓名___王晓阳指导教师__刘珊中___20一、十字路口交通管理器的设计一、设计要求设计一个控制交通信号灯的控制电路,该管理器控制甲、乙两道的红、黄、绿三色灯。指挥车辆和行人安全通行。在正常工作情况下,任何时候必有且只有一个灯点亮。当出现其他状况时,表明电路发生故障,这时要求逻辑电路能发出故障信号,提醒维护人员进行维修。二、交通灯总体框图图1交通灯总体框图根据交通灯控制器的功能与要求,将其总体电路

2、分为状态控制,倒计时,数码管显示,信号灯显示模块(jtdctrl,jtdtime,jtdxs,jtdlight)。jtdctrl实现逻辑和时序控制,外部两路脉冲振荡器的频率选为1kHz和1Hz的信号,1khz信号用于显示模块的扫描,1Hz信号用做倒计时模块的计数脉冲。M为紧急状态和自动运行状态。三、交通灯原理分析当M='1'时,进入紧急状态,输出为B"100100",即甲、乙方向均为红灯亮。当M='0'时,交通即开始正常工作。M=‘0’时,经过40秒,S由B"100010"变为B"100001",再经过5秒,S变为B"010100",再经过40秒,S变为B"001100",再经过5秒,S变为B

3、"100010"……如此循环下去。当M=‘1’跳变到M=‘0’时,数码管继续计时,恢复正常工作状态。甲、乙方向的红绿灯具体转换状态如下:20M输出备注1100100长时间亮红灯0状态1:100010持续40秒,转到状态2状态2:100001持续5秒,转到状态3状态3:010100持续40秒,转到状态4状态4:001100持续5秒,转到状态1甲方向红灯红灯绿灯黄灯45秒40秒5秒乙方向绿灯黄灯红灯红灯40秒5秒45秒交通灯转换表四、交通灯模块设计与仿真1.顶层文件的设计顶层原理图设计可以依据系统框图进行,由反馈控制,倒计时,数码管显示,信号灯显示模块(jtdctrl,jtdtime,jtdxs,

4、jtdlight)四部分组成。其顶层原理图如图所示。图2顶层原理图顶层文件的仿真波形图如图3所示:20图3顶层文件的仿真波形图2.状态控制模块(jtdctrl)状态控制模块根据倒计时模块(jtdtime)的输出信号和1hz的时钟信号,产生系统的状态机,控制其他部分的协调工作。该模块的源文件程序如下:libraryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;entityjtd_ctrlisport(clk:instd_logic;at,bt:instd_logic_vector(7downto0);s:outstd

5、_logic_vector(1downto0));endjtd_ctrl;architecturejtdofjtd_ctrlissignalq:std_logic_vector(1downto0);beginprocess(clk,at,bt)beginifclk'eventandclk='1'thenif(at=x"01")or(bt=x"01")thenq<=q+1;elseq<=q;endif;endif;endprocess;s<=q;endjtd;状态控制模块的仿真波形图如图4所示:20图4状态控制模块的仿真波形图3.倒计时模块(jtdtime)的设计倒计时模块用来设定A和B两个方向

6、计时器的初值,并为数码管显示模块提供倒计时时间。倒计时模块源文件程序如下:libraryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;entityjtd_timeisport(clk:instd_logic;m:instd_logic;s:instd_logic_vector(1downto0);at,bt:outstd_logic_vector(7downto0));endjtd_time;architecturejtd_1ofjtd_timeissignalati:std_logic_vector(7downt

7、o0):=x"01";signalbti:std_logic_vector(7downto0):=x"01";signalart,agt,ayt:std_logic_vector(7downto0);signalbrt,bgt,byt:std_logic_vector(7downto0);begin——设定各个红绿黄的工作时间art<=x"45";agt<=x"40";ayt<=x"05";brt

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。