基于dds的数字移相信号发生器

基于dds的数字移相信号发生器

ID:12841629

大小:3.28 MB

页数:18页

时间:2018-07-19

基于dds的数字移相信号发生器_第1页
基于dds的数字移相信号发生器_第2页
基于dds的数字移相信号发生器_第3页
基于dds的数字移相信号发生器_第4页
基于dds的数字移相信号发生器_第5页
资源描述:

《基于dds的数字移相信号发生器》由会员上传分享,免费在线阅读,更多相关内容在学术论文-天天文库

1、基于DDS的数字移相信号发生器EDA课程设计课题名称_基于DDS的数字移相信号发生器专业_电子信息工程______班级__________________学号_姓名_____成绩__________________指导教师__________2014年5月7日基于DDS的数字移相信号发生器一、课程设计目的3二、设计任务3三、工作原理及模块分析31、频率预置与调节电路42、累加器43、波形存储器44、D/A转换器5四、相关程序51、加法器5(1)ADD105(2)ADD3272、寄存器8(1)REG10B8(2)REG32B10

2、3、ROM124、主程序14五、仿真结果:17六、引脚配置和下载18七、实验心得19基于DDS的数字移相信号发生器一、课程设计目的1、进一步熟悉QuartusⅡ的软件使用方法;2、熟悉利用VHDL设计数字系统并学习LPM_ADD_SUB、LPMROM、LPM_FF的使用方法;3、学习FPGA硬件资源的使用和控制方法;4、掌握DDS基本原理,学习利用此原理进行信号发生器的设计二、设计任务完成10位输出数据宽度的频率可调的移相正弦信号发生器,通过按键调节频率和初始相位,实现相位和频率可调的正弦信号发生器三、工作原理及模块分析直接数

3、字频率合成器(DDS)是通信系统中常用到的部件,利用DDS可以制成很有用的信号源。与模拟式的频率锁相环PLL相比,它有许多优点,突出为(1)频率的切换迅速;(2)频率稳定度高。一个直接数字频率合成器由相位累加器、波形ROM、D/A转换器和低通滤波器构成。DDS的原理框图如下所示:图1直接数字频率合成器原理图其中K为频率控制字,fc为时钟频率,N为相位累加器的字长,D为ROM数据位及D/A转换器的字长。相位累加器在时钟fc的控制下以步长K作为累加,输出N位二进制码作为波形ROM的地址,对波形ROM进行寻址,波形ROM输出的幅码S

4、(n)经D/A转换器变成梯形波S(t),再经低通滤波器平滑后就可以得到合成的信号波形了。合成的信号波形形状取决于波形ROM中存放的幅码,因此用DDS可以产生任意波形。本设计中直接利用D/A转换器得到输出波形,省略了低通滤波器这一环节。基于DDS的数字移相信号发生器1、频率预置与调节电路不变量K被称为相位增量,也叫频率控制字。DDS方程为:f0=fcK/2n,f0为输出频率,fc为时钟频率。当K=1时,DDS输出最低频率(也既频率分辩率)为fc/2nDDS的最大输出频率由Nyguist采样定理决定,即fc/2,也就是说K的最大值

5、为2n-1.因此,只要N足够大,DDS可以得到很细的频率间隔。要改变DDS的输出频率,只要改变频率控制字K即可。2、累加器相位累加器的原理图如下图图2相位累加器原理图相位累加器由N为加法器与N位寄存器级联构成。每来一个时钟脉冲fc,加法器将频率控制字与寄存器输出的累加相位数据相加,再把相加后的结果送至寄存器的数据输入端,寄存器将加法器在上一个时钟作用后所产生的下数据反馈到加法器的输入端;以使加法器在下一个时钟作用下继续频率控制字进行相加。这样,相位累加器在时钟的作用下,进行相位累加,当相位累加器累加满量时,就产生一次溢出,完成

6、一个周期性的动作,这个周期应为uk=2n/GCD(2N;k),其中GCD表示最大公约数。3、波形存储器用相位累加器输出的数据作为波形存储器的取样地址进行波形的相位——幅值转换,即可在给定的时间上确定输出的波形的抽样幅值。N位的寻址ROM相当于把00---3600的正弦信号离散成具有2n样值的序列,若波形ROM有D位数据位,则2n个样值的幅值以D位二进制数值固化在ROM中,按照地址的不同可以输出相宜相位的正弦信号的幅值。相位----幅值变换原理图如下所示。基于DDS的数字移相信号发生器图3相位-幅度变换原理图4、D/A转换器D/

7、A转换器的作用是把已经合成的正弦波的数字量转换成模拟量,正弦幅度量化序列S(n)经D/A转换后变成了包络为正弦波的阶梯波S(t),S(t)的周期为T=uk*Tc.。需要注意的是,频率合成器对D/A转换器的分辨率有一定的要求,D/A转换器的分辨率越高,合成的正弦波S(t)台阶数就越多,输出波形的精度也就越高。四、相关程序1、加法器(1)ADD10LIBRARYieee;USEieee.std_logic_1164.all;LIBRARYlpm;USElpm.all;ENTITYADD10ISPORT(dataa:INSTD_LO

8、GIC_VECTOR(9DOWNTO0);datab:INSTD_LOGIC_VECTOR(9DOWNTO0);result:OUTSTD_LOGIC_VECTOR(9DOWNTO0));ENDADD10;ARCHITECTURESYNOFadd10ISSIGNALsub_w

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。