基于fpga的堆栈处理器设计

基于fpga的堆栈处理器设计

ID:1260110

大小:226.00 KB

页数:15页

时间:2017-11-09

基于fpga的堆栈处理器设计_第1页
基于fpga的堆栈处理器设计_第2页
基于fpga的堆栈处理器设计_第3页
基于fpga的堆栈处理器设计_第4页
基于fpga的堆栈处理器设计_第5页
资源描述:

《基于fpga的堆栈处理器设计》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、河南科技大学课程设计说明书课程名称现代电子系统课程设计题目堆栈处理器的设计学院*******班级******学生姓名******指导教师******日期********14课程设计任务书(指导教师填写)课程设计名称现代电子系统课程设计学生姓名****专业班级*********设计题目堆栈处理器的设计一、课程设计目的掌握LPM模块的使用方法;掌握GW48_SOPC实验箱的使用方法;了解基于FPGA的电子系统的设计方法。二、设计内容、技术条件和要求设计一个具有如下功能的堆栈处理器。(1)基本要求:a.与外部数据线的数据交换符合堆栈

2、要求(先进后出);b.对存储的数据能进行算术运算;c.数据位数不少于8位;d.通过数码管显示操作数据及运算结果。(2)发挥部分a.具有错误提示功能;b.数据位数不少于16位;c.其它。三、时间进度安排布置课题和讲解:1天查阅资料、设计:4天实验:3天撰写报告:2天四、主要参考文献何小艇《电子系统设计》浙江大学出版社2008.1潘松黄继业《EDA技术实用教程》科学出版社2006.10齐晶晶《现代电子系统设计》实验指导书电工电子实验教学中心2009.8指导教师签字:2010年12月30日14摘要堆栈处理器是具有两个功能,首先它与数

3、据总线的数据交换符合堆栈的要求(即先进后出);能对存储的数据进行算术运算。本次设计就是基于FPGA用VHDL语言实现的一个堆栈处理器,拥有一个RAM进行堆栈的数据存储操作,同时有两个寄存器存放运算器的输入和运算结果。堆栈处理器的主要组成部分如下:1、堆栈存储器:进行数据的存储是堆栈处理器的基本功能,这里的堆栈存储器就是一个可以用来存储和读取数据的RAM,它的存储和读取符合堆栈先进后出的要求,具体的实现方法将在后面的方案论证中详细论述。2、运算器:运算器的功能就是对处于栈顶的两个数据进行算术运算(加、减、乘、除),并将运算结果送

4、至控制部分,进行下一步的操作。3、控制模块:控制堆栈处理器的各项操作(入栈、出栈、算术运算)、状态转移、设置各种状态标志和RAM地址的产生。4、显示模块:用来显示A、B寄存器中的数据、数据总线数据和输入数据的实时显示。关键词:堆栈、算术运算、控制、显示、FPGA、VHDL14目录一、任务解析……………………………………………………………………3二、系统方案论证………………………………………………………………42.1总体方案比较论证……………………………………………………42.2系统结构与原理………………………………………………

5、………4三、数据子系统的设计…………………………………………………………43.1堆栈存储器的设计……………………………………………………43.2运算器的设计…………………………………………………………53.3数据路径………………………………………………………………6四、控制子系统…………………………………………………………………64.1方案论证………………………………………………………………64.2控制部分的实现………………………………………………………7五、总结…………………………………………………………………………85.1

6、仿真结果………………………………………………………………85.2系统缺陷分析…………………………………………………………11六、心得体会……………………………………………………………………1114一、任务解析(1)根据任务要求,堆栈处理器与总线的数据交换要符合先进后出的原则,它是一个具有数据存储和读取功能的RAM,为了便于测试,这里我们设定了一个8*8的RAM,它的字数为8,每个字为8位,满足了数据位数为8的要求。在堆栈中定义一个堆栈指针SP,用来表征存储字在RAM中的位置,当进行入栈操作PUSH时,数据存入地址为SP的单元后

7、,SP←SP-1;而进行出栈操作POP时,将SP单元的数据读出后,SP←SP+1。当指针处于栈顶,对应满栈,应有满栈信号FULL=1,此时不能进行入栈PUSH。当SP=8时,指针处于栈底,对应栈空,应有栈空信号EMPTY=1,此时不可以进行出栈POP。(2)堆栈处理器的第二个功能是算术运算操作,首先应有四个算术运算的指示信号,即输入信号ADD、SUB、MUL、DIV。算术运算的过程就是把地址分别为SP和SP+1的两个数据分别送入运算器进行运算,运算后将结果送入地址为SP+1的字中。当栈中只有一个字时不能进行算术运算,因此应有一

8、个ONE指示信号,当指针为7时,栈内只有一个字,指示信号ONE=1。(3)控制器主要用来设置各个标志位的状态,数据的具体流向、存储路径,控制显示模块的显示。(4)对于显示的部分,只需将数据总线的数据送至数码管显示电路即可。(5)系统框图的确定由以上分析可以得到堆栈处理器的功能

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。