基于verilog的fpga的电子密码锁的设计

基于verilog的fpga的电子密码锁的设计

ID:12177688

大小:617.67 KB

页数:17页

时间:2018-07-16

基于verilog的fpga的电子密码锁的设计_第1页
基于verilog的fpga的电子密码锁的设计_第2页
基于verilog的fpga的电子密码锁的设计_第3页
基于verilog的fpga的电子密码锁的设计_第4页
基于verilog的fpga的电子密码锁的设计_第5页
资源描述:

《基于verilog的fpga的电子密码锁的设计》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、基于FPGA的电子密码锁的设计报告摘要:基于FPGA设计的电子密码锁是一个小型的数字系统,与普通机械锁相比,具有许多独特的优点:保密性好,防盗性强,可以不用钥匙,记住密码即可开锁等。目前使用的电子密码锁大部分是基于单片机技术,以单片机为主要器件。在实际应用中,程序容易跑飞,系统的可靠性较差。本文介绍的一种基于现场可编辑门阵列FPGA器件的电子密码锁的设计方法,采用VHDL语言对系统进行描述,并在EP3C10E144C8上实现。通过仿真调试,利用可编程逻辑器件FPGA的电子密码锁的设计基本达到了预期目的。当然,该系统在一些细节的设计上还需要不断地完善和改

2、进,特别是对系统的扩展有很好的使用系统和设计的价值。关键词:现场可编程门阵列;VHDL语言;电子密码锁TheReportOfElectronicCodeLockDesignAbstract:FPGA-baseddesignoftheelectroniccodelockisasmalldigitalsystem.Ithasmanyuniqueadvantages:goodprivacyandsecurity,itdonotneedthekeybutrememberpasswordtounlock,andsoonwhileitcomparetoordina

3、rymechanicallocks.Atpresent,theelectroniccodelockismostusedofSCMtechnology.Inpractice,however,theprocesseasyruntofly.Sothereliabilityofthissystemispoor.ThepaperintroducedafieldprogrammablegatearraysFPGAdevicestodesignelectronicpasswordlock.TheVHDLlanguageisusedtodescribethesyste

4、mandachievedinEP3C10E144C8.Thoughthesimulationtests,usingFPGA-baseddesignoftheelectroniccodelockcanachievetheexpectedgoal.Ofcourse,someofthedetailsofthesysteminthedesignoftheneedtoconstantlyrefinedandimproved,inparticulartheexpansionofthesystemhaveagooddesignandpracticalvalue.Ke

5、yword:FPGA;VHDLlanguage;electronicpasswordlock一、设计内容与要求1.设计一个密码锁,密码为一个4位的十进制数,密码固化在锁内2.用户输入密码正确,则开锁(试验箱D7LED灯亮);若不正确,则报警(试验箱D0LED灯亮)3.若用户输入密码不正确,可以按复位键重新输入密码。二、设计思想2.1系统原理框图本系统由主控芯片(FPGA),键盘,显示电路,报警电路和开/关门电路组成,而主控芯片又可分为按键处理部分,控制部分和译码显示部分。系统原理框图如图2.1所示:键盘按键处理主控部分译码显示显示开/关门电路报警电路F

6、PGA图2.1系统框图2.2总体实现原理本系统有8个按键,K0,K1,K2,K3,K4,K5代表数字0-9共10个数字和1个确认键,1个复位键。密码长度为四位,并且固化在锁内,输入正确密码后,按确认键即可开门,本系统设置为LEDD7灯亮。在输入密码的过程中,当用户键入错误密码时,报警灯LEDD0灯亮。按下复位键,可使报警停止,同时清除所有密码显示。三、芯片主控设计3.1FPGA有限状态机本设计是通过FPGA有限状态机来实现,设计有限状态机最开始的工作时要确定电路,包括哪些状态,比如某个电路包括四个状态,S0,S1,S2,S3。然后对所有状态给出一个状态

7、编码,比如为状态S0赋予编码00,为状态S1赋予编码01,为状态S2赋予编码10,为状态S3赋予编码11。状态编码是状态的标识,保存在寄存器当中,对于此编码形式,只需一个2位的寄存器就可以了。FSMEncodingStyle主要有:BinaryEncodingOneHotEncodingGrayEncoding二进制与一位热码的特性比较:表3.1二进制与一位热码的特性比较状态机可以认为是组合逻辑和寄存器逻辑的特殊租户,它一般包括两个部分:组合逻辑部分和寄存器逻辑部分。寄存器用于存储状态,组合电路用于状态译码和产生输出信号。状态机的下一个状态及输出,不仅

8、与输入信号有关,而且还有寄存器当前所处的状态有关。根据输出信号产生方法的不同,状态机可以分成两

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。