基于vhdl语言信号发生器的设计--eda课程设计

基于vhdl语言信号发生器的设计--eda课程设计

ID:12126217

大小:285.50 KB

页数:18页

时间:2018-07-15

基于vhdl语言信号发生器的设计--eda课程设计_第1页
基于vhdl语言信号发生器的设计--eda课程设计_第2页
基于vhdl语言信号发生器的设计--eda课程设计_第3页
基于vhdl语言信号发生器的设计--eda课程设计_第4页
基于vhdl语言信号发生器的设计--eda课程设计_第5页
资源描述:

《基于vhdl语言信号发生器的设计--eda课程设计》由会员上传分享,免费在线阅读,更多相关内容在学术论文-天天文库

1、基于VHDL语言信号发生器的设计1、设计目的1)掌握使用EDA工具设计信号发生器系统的设计思路和设计方法,体会使用EDA综合过程中电路设计方法和设计思路的不同,理解层次化设计理念。2)熟悉在QuartusII环境中,用文本输入方式与原理图输入方式完成电路的设计,同时掌握使用这两种方式相结合的EDA设计思路。3)通过这一部分的学习,对VHDL语言的设计方法进行进一步的学习,对其相关语言设计规范进行更深层次的掌握,能够更加熟练的做一些编程设计2、设计的主要内容和要求通过使用VHDL语言及QuartusII软件

2、,设计多功能信号发生器的每个模块,将正弦波模块,方波模块,三角波模块,阶梯波模块创建相应的元件符号,同时设计好4选1数据选择器模块,再通过原理图输入方式,将各个模块组合起来,设计成一个完整的多种信号发生器电路,同时将各个模块单独进行仿真,设计各个模块的仿真波形,最后进行总原理图电路仿真,设计该信号发生器的总的仿真波形。信号发生器:体现在它能自动的实现四种波形的转换。根据题目的分析与整体构思可知,要完成设计任务必须完成以下要求:1、设计好用于波形切换的四路数据选择器selector4_1;2、设计好用于总电

3、路设计的各个信号输出模块;3、设计好数模(D/A)转换器。3、整体设计方案基本设计方案:在现有单一信号发生器的基础上,加上其它信号模块,通过组合与设计,用数模转换器(D/A)将选中的信号源发出的信号由数字信号转换为模拟信号,再用示波器显示出来,其信号发生器的结构框图如图3.1所示。信号发生器由各个单一信号模块组合而成,其中信号产生模块将产生所需的各种信号,信号发生器的控制模块可以用数据选择器实现,用4选1数据选择器实现对四种信号的选择。最后将波形数据送入D/A转换器,将数字信号转换为模拟信号输出。用示波器

4、测试D/A转换器的输出,可以观测到4种信号的输出。图3.1信号发生器结构框图通过查找资料把各类信号模块的程序输入到QuartusⅡ中进行运行仿真,每一步都要慎重小心,错误难免的,还需要通过课本和资料一一更正。最后,在前面模块做好的基础上再考虑如何输出波形的问题,通过对四种波形采样就可以得到。4、硬件电路的设计与软件设计根据题目分析与整体构思可知,要完成设计任务必须设计出以下模块:4.1正弦波发生器的实现该模块产生以64个时钟为一个周期的正弦波。其VHDL语言源程序代码如下所示:LIBRARYIEEE;US

5、EIEEE.STD_LOGIC_1164.ALL;--打开库文件USEIEEE.STD_LOGIC_UNSIGNED.ALL;ENTITYsinqiISPORT(clk:INSTD_LOGIC;--声明clk是标准逻辑位类型的输入端口clr:INSTD_LOGIC;--声明clr是标准逻辑位类型的输入端口d:OUTintegerrange0to255);--声明d是标准逻辑向量类型的--输出端口ENDsinqi;ARCHITECTUREsinbOFsinqiISBEGINPROCESS(clr,clk)v

6、ariabletemp:integerrange0to63;BEGINIFclr='0'THENd<=0;--clr为低电平d为0ELSIFclk'EVENTANDclk='1'THEN--clk为上升沿IFtemp=63THENtemp:=0;ELSEtemp:=temp+1;ENDIF;CASEtempISwhen00=>d<=255;when01=>d<=254;when02=>d<=252;when03=>d<=249;when04=>d<=245;when05=>d<=239;when06=>d

7、<=233;when07=>d<=225;when08=>d<=217;when09=>d<=207;when10=>d<=197;when11=>d<=186;when12=>d<=174;when13=>d<=162;when14=>d<=150;when15=>d<=137;when16=>d<=124;when17=>d<=112;when18=>d<=99;when19=>d<=87;when20=>d<=75;when21=>d<=64;when22=>d<=53;when23=>d<=43;

8、when24=>d<=34;when25=>d<=26;when26=>d<=19;when27=>d<=13;when28=>d<=8;when29=>d<=4;when30=>d<=1;when31=>d<=0;when32=>d<=0;when33=>d<=1;when34=>d<=4;when35=>d<=8;when36=>d<=13;when37=>d<=19;when38=>d<=26;when39=>d<=34

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。