eda信号发生器的设计

eda信号发生器的设计

ID:12058674

大小:99.50 KB

页数:8页

时间:2018-07-15

eda信号发生器的设计_第1页
eda信号发生器的设计_第2页
eda信号发生器的设计_第3页
eda信号发生器的设计_第4页
eda信号发生器的设计_第5页
资源描述:

《eda信号发生器的设计》由会员上传分享,免费在线阅读,更多相关内容在学术论文-天天文库

1、课程设计说明书信号发生器报告设计中它能够产生多种波形,如正弦波,三角波,方波和锯齿波等,并能实现对各种波频率和幅度的改变。正因为其在生活中应用的重要性,人们它做了大量的研究,总结出了许多实现方式。可以基于FPGA、VHDL、单片机、DOS技能、数字电路等多种方法实现。本设计是采用VHDL来实现的简易多功能信号发生器。它能产生正弦波,三角波,方波和锯齿波。1EDA技术介绍EDA是电子设计自动化(ElectronicDesignAutomation)缩写。EDA技术是以计算机为工具,根据硬件描述语言HDL(HardwareDescriptionlanguage)完成的设计文件,自动地完成逻辑编译、

2、化简、分割、综合及优化、布局布线、仿真以及对于特定目标芯片的适配编译和编程下载等工作。VHDL是一种主要的硬件描述语言之一,硬件描述语言(HDL)是各种描述方法中最能体现EDA优越性的描述方法。所谓硬件描述语言,实际上就是一种描述工具,其描述的对象就是待设计电路系统的逻辑功能,实现该功能的算法,选用的电路结构以及其他各种约束条件等。通常要求HDL既能描述系统的行为,又能描述系统的结构。VHDL语言涵盖面广,抽象描述强,支持硬件的设计,验证,综合和测试。VHDL能在多级别上对同一逻辑功能进行描述。VHDL的基本结构包含一个实体和一个结构体,而完整的VHDL结构还包括配置,程序包与库。各种硬件描述

3、语言中,VHDL的描述能力最强,因此运用VHDL进行复杂电路设计时,往往采用自顶向下结构化的设计方法。QuartusII是Altera公司的综合性PLD开发软件,支持原理图、VHDL、VerilogHDL以及AHDL(AlteraHardwareDescriptionLanguage)等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程。8课程设计说明书2设计流程基于VHDL语言设计一个简易多功能信号发生器,通过选入输入信号,可以输出正弦波、三角波、方波和锯齿波四种波形信号。信号发生器的控制模块可以用数据选择器实现,四种信号的信号选择可以用4选1数据

4、选择器实现。同时本设计使用原理图的方法,对正弦波、三角波、方波和锯齿波和4选1数据选择器元件进行调用。简易多功能信号发生器的原理图如下:图1简易多功能信号发生器原理图原理图本设计是各个模块分别产生相应的波形,再通过一个4选1数据选择器输出相应的波形。通过其他按键控制波形的频率和幅度的变化。3正弦波、三角波、方波和锯齿波的实现3.1正弦波设计正弦波的产生思想是将对模拟波形采样后的编码存入定义好的ROM中,再根据时钟循环的将这些编码顺序输出,在输出端将经过数模转换器转换后的模拟信号接入示波器即可显示正弦波形。产生正弦波的VHDL代码如下:libraryieee;useieee.std_logic_

5、1164.all;entitysin2is8课程设计说明书port(clock,sel:instd_logic;dout4:outintegerrange0to255);endsin2;architecturebhvofsin2istypemem_typeisarray(0to63)ofintegerrange0to255;constantmem:mem_type:=(255,254,252,249,245,239,233,225,217,207,197,186,174,162,150,137,124,112,99,87,75,64,53,43,34,26,19,13,8,4,1,0,0,1,

6、4,8,13,19,26,34,43,53,64,75,87,99,112,124,137,150,162,174,186,197,207,217,225,233,239,245,249,252,254,255);signaladdress:integerrange0to63;beginprocess(clock)beginifclock'eventandclock='1'thenifaddress>63thenaddress<=0;elseifsel='1'thenaddress<=address+1;dout4<=(mem(address))/2;elseaddress<=address+

7、1;dout4<=mem(address);endif;endif;endif;endprocess;endbhv;图2正弦波时序仿真图3.2三角波设计8课程设计说明书三角波的产生思想是将对模拟波形采样后的编码存入定义好的ROM中,再根据时钟循环的将这些编码顺序输出,在输出端将经过数模转换器转换后的模拟信号接入示波器即可显示三角波形。产生三角波的VHDL代码如下:libraryieee;useie

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。