基于vhdl课程设计乒乓球游戏

基于vhdl课程设计乒乓球游戏

ID:11219481

大小:2.23 MB

页数:31页

时间:2018-07-10

基于vhdl课程设计乒乓球游戏_第1页
基于vhdl课程设计乒乓球游戏_第2页
基于vhdl课程设计乒乓球游戏_第3页
基于vhdl课程设计乒乓球游戏_第4页
基于vhdl课程设计乒乓球游戏_第5页
资源描述:

《基于vhdl课程设计乒乓球游戏》由会员上传分享,免费在线阅读,更多相关内容在学术论文-天天文库

1、ShanghaiNormalUniversity课程设计名称乒乓球游戏程序姓名专业班级通信工程(1)班学院信息与机电工程学院完成日期2017年5月29目录摘要1第一部分绪论21.1课题设计背景21.1.1FPGA简介21.1.2硬件描述语言VHDL21.1.3QuartusⅡ简介21.2课题主要内容3第二部分系统设计42.1整体设计图42.2设计思路52.3具体功能对应等6第三部分模块设计93.1控制模块93.1.1引脚功能93.1.2核心代码及解释103.1.3RTL图133.2分频模块143.2.1引脚功能143.2.2核心代码及解释143.2.3RTL图153.3按

2、键处理模块153.3.1引脚功能153.3.2核心代码及解释153.3.3RTL图163.4锁楼层模块173.4.1引脚功能173.4.2核心代码及解释173.4.3RTL图18第四部分操作配图19第五部分结论234.1遇到的问题和改进234.2工作分配比例23第六部分附录2429基于FPGA的乒乓球游戏程序的设计摘要VHDL是高速集成电路硬件描述语言,目前已成为许多设计自动化工具普遍采用的标准化硬件描述语言.VHDL语言功能性强、覆盖面广、灵活性高,具有很好的实用。本文设计一个基于VHDL的乒乓游戏机模拟乒乓球比赛。用VHDL编程模拟乒乓球比赛,电路模块由分频、状态机等

3、部分组成,对各部分编写VHDL算法,进行编译及程序下载。通过验证,乒乓游戏机能模拟乒乓球比赛的基本过程和规则,并能自动裁判和记分,还能根据接球快慢改变球运行的速度。实现乒乓游戏机的功能。关键词:乒乓游戏机、VHDL、状态机29第一部分绪论1.1课题设计背景1.1.1FPGA简介FPGA(Field-ProgrammableGateArray),即现场可编程门阵列,它是在PAL、GAL、CPLD等可编程器件的基础上进一步发展的产物。它是作为专用集成电路(ASIC)领域中的一种半定制电路而出现的,既解决了定制电路的不足,又克服了原有可编程器件门电路数有限的缺点。1.1.2硬件

4、描述语言VHDLVHDL的英文全名是VHSICHardwareDescriptionLanguage(VHSIC硬件描述语言)。VHSIC是VeryHighSpeedIntegratedCircuit的缩写,是20世纪80年代在美国国防部的资助下始创的,并最终导致了VHDL语言的出现。1987年底,VHDL被IEEE和美国国防部确认为标准硬件描述语言。VHDL主要用于描述数字系统的结构,行为,功能和接口。除了含有许多具有硬件特征的语句外,VHDL的语言形式和描述风格与句法是十分类似于一般的计算机高级语言。VHDL的程序结构特点是将一项工程设计,或称设计实体(可以是一个元件

5、,一个电路模块或一个系统)分成外部(或称可视部分,及端口)和内部(或称不可视部分),既涉及实体的内部功能和算法完成部分。在对一个设计实体定义了外部界面后,一旦其内部开发完成后,其他的设计就可以直接调用这个实体。这种将设计实体分成内外部分的概念是VHDL系统设计的基本点。1.1.3QuartusⅡ简介AlteraQuartusII作为一种可编程逻辑的设计环境,由于其强大的设计能力和直观易用的接口,越来越受到数字系统设计者的欢迎。AlteraQuartusII(3.0和更高版本)设计软件是业界唯一提供FPGA和固定功能HardCopy器件统一设计流程的设计工具。  Quart

6、us IIdesign是最高级和复杂的,用于system-on-a-programmable-chip(SOPC)的设计环境。QuartusIIdesign提供完善的timingclosure和LogicLock?基于块的设计流程。QuartusIIdesign是唯逐一个包括以timingclosure和基于块的设计流为基本特征的programmablelogicdevice(PLD)的软件。QuartusII设计软件改进了性能、提升了功能性、解决了潜伏的设计延迟等,在产业领域率先提供FPGA与mask-programmeddevices开发的同一工作流程。291.2课题

7、主要内容随着可编程逻辑电路和EDA技术的发展,在逻辑电路设计和嵌入式系统设计方面,以CPLD/FPGA为代表的可编程逻辑器件已经逐步代替了传统的标准逻辑器件;本次论文的乒乓球游戏所有的程序可以集成在一个FPGA开发芯片上面,不用在用其他功能的分立逻辑元件,达到集成度高、响应快、功耗低的特点。本次论文主要是基于FPGA的乒乓球游戏的设计,模拟乒乓球比赛的基本过程和规则,自动裁判和记分,比局为7局,每局11分。而本次论文采用模块化设计,主要分为两大模块:时钟分频模块、状态机控制模块。29第二部分系统设计2.1整体设计图图1乒乓球游

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。