交通灯信号控制器的设计大学毕设论文.doc

交通灯信号控制器的设计大学毕设论文.doc

ID:10690113

大小:94.50 KB

页数:10页

时间:2018-07-07

交通灯信号控制器的设计大学毕设论文.doc_第1页
交通灯信号控制器的设计大学毕设论文.doc_第2页
交通灯信号控制器的设计大学毕设论文.doc_第3页
交通灯信号控制器的设计大学毕设论文.doc_第4页
交通灯信号控制器的设计大学毕设论文.doc_第5页
资源描述:

《交通灯信号控制器的设计大学毕设论文.doc》由会员上传分享,免费在线阅读,更多相关内容在学术论文-天天文库

1、目录(一)课程任务书…………………………………………………………2(二)设计题目方案确定…………………………………………………3(三)设计项目输入编译和仿真…………………………………………4(四)器件编程下载与硬件验证…………………………………………7(五)实验总结与心得……………………………………………………810课程设计任务书课题名称交通信号控制器接口设计完成时间指导教师职称高工学生姓名班级3总体设计要求和技术要点10主要课程支撑及实践目标要求:本课程是一门以实践环节为主的专业技术基础课,前序课程为:工程数学、

2、电路分析、数字电路、模拟电子技术等,后续课程为《单片机原理及应用》、《DSP技术应用》、《SOPC设计》等,是电子信息类各专业的设计工具,也是电子系统设计的基础。通过本课程的学习使学生掌握可编程器件、EDA开发系统软件、硬件描述语言和电子线路设计与技能训练等各方面知识;提高工程实践能力;学会应用EDA技术解决一些简单的电子设计问题。具体要求:1.设交通灯信号控制器用于主干道与支干道公路的交叉路口,要求是优先保证主干道的畅通。因此,平时处于"主干道绿灯,支道红灯"状态,只有在支道有车辆要穿行主干道时,才将交通灯切向"

3、主干道红灯,支道绿灯",一旦支道无车辆通过路口,交通灯又回到"主干道绿灯,支道红灯"状态。2.主干道和支干道自动循环。主干道和支道每次通行的时间为30s,而在两个状态交换过程出现的"主黄,支红"和"主红,支黄"状态,持续时间都为4s。3. 手动设置主干道和支道每次通行的时间分别为为30s、40s、50s;所需仪器设备:计算机、EDA实验箱、示波器成果验收形式:EDA实验箱上连接电路实现整个设计;验收设计的显示结果及各模块软件程序。参考文献:1.徐惠民,安德宁《数字逻辑设计与VHDL描述》机械工业出版社2.蒋璇,臧春

4、华《数字系统设计与PLD应用技术》电子工业出版社3.陈赜,朱如琪《在系统可编程技术实践教程》科学出版社4.黄正槿,徐坚等《CPLD系统设计技术入门与应用》电子工业出版社5.赵曙光,郭万有等《可编程逻辑器件原理、开发与应用》西安电子科技大学出版社6.卢毅,赖杰《VHDL与数字电路设计》科学出版社7.潘松,黄继业《EDA技术实用教程》科学出版社工作内容及时间进度安排10十一月十号开始分析设计要求,进行VHDL语言的编译,十二号进行软件仿真,下载,硬件调试,十三号实现并验收,实践报告。课程设计成果1.与设计内容对应的软件

5、程序2.课程设计报告书3.成果使用说明书4.设计工作量要求一设计过程(一)设计题目和方案确定1.设计题目:交通灯信号控制器的设计2.设计要求:1.设交通灯信号控制器用于主干道与支干道公路的交叉路口,要求是优先保证主干道的畅通。因此,平时处于"主干道绿灯,支道红灯"状态,只有在支道有车辆要穿行主干道时,才将交通灯切向"主干道红灯,支道绿灯",一旦支道无车辆通过路口,交通灯又回到"主干道绿灯,支道红灯"状态。2.主干道和支干道自动循环。主干道和支道每次通行的时间为30s,而在两个状态交换过程出现的"主黄,支红"和"主红

6、,支黄"状态,持续时间都为4s。3. 手动设置主干道和支道每次通行的时间分别为为30s、40s、50s;3设计思路:(1)传感器状态为主干路传感器支干路传感器,当支路无车时,即传感器开关状态为0001状态时,总保持主干道绿灯支干道红灯状态;(2)当主路总无车而支路总有车时,即传感器开关为01状态时,总保持主红支绿;(3)当主干路支干路都有车时,即传感器为11状态时,主路支路轮流切换通行。10当主路绿灯30s切黄灯4s后,主路变红灯,支路变绿灯;当支路绿灯30s切黄灯4s后,主路变绿灯,支路变红灯。此两种状态为“主黄

7、,支红”,“主红,支黄”两种状态。(二)设计项目输入编译和仿真1设计交通控制器的VHDL文本程序:libraryieee;useieee.std_logic_1164.all;useieee.std_logic_arith.alluseieee.std_logic_unsigned.all;entityjtdkzisport(clk,sens_m,sens_f:instd_logic;m,l,n:instd_logic;rm,ym,gm,rf,yf,gf:outstd_logic);endjtdkz;archite

8、cturearcofjtdkzistypestate_typeis(a,b,c,d);signalstate:state_type;signalk:std_logic_vector(2downto0);begink<=m&l&n;cnt:process(clk,state)variableg,s:integerrange0to49;variablenclr,

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。